在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1565|回复: 0

[求助] vcs仿真bug

[复制链接]
发表于 2017-12-25 13:46:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神:  我写了一个很简单的tb,给了一些激励。在vcs上输入vcs -debug_all -full64 +.v文件 这样的命令来编译。生成了一个simv可执行的文件。在输入./simv -gui & 命令调用dve来进行仿真。结果能把dve启动,不过它会报error。 20171225115828.jpg 正常会生成一个inter.vpd文件的,但我看目录里面没有。

我打开生成的文件cmd_dve_sim.log _20171225120247.jpg 看到了synopsys::dump -type VPD  -file /home/prj1020/dengfj/test/inter.vpd -locking 。是跟这个有关系吗?请教一下大伙这是怎么回事呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 22:14 , Processed in 0.015769 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表