在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2108|回复: 1

[原创] 在VCS仿真器中使用FSDB

[复制链接]
发表于 2017-12-23 15:46:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

FSDBFast SignalDatabase)是Verdi支持的文件格式,用于保存仿真产生的信号波形。据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快。要用VCS仿真器生成FSDB文件,就要调用Verdi提供的FSDB dumping命令,较常用的方法是在Testbench中调用。


首先要把FSDB dumper库的路径添加到LD_LIBRARY_PATH环境变量,32Linux环境使用的C Shell命令格式如下:








  1. if ($?LD_LIBRARY_PATH)
  2. then

  3. <span style="mso-tab-count:
  4. 1">      setenv LD_LIBRARY_PATH
  5. ${LD_LIBRARY_PATH}:${NOVAS_HOME}/share/PLI/VCS/LINUX

  6. else

  7. <span style="mso-tab-count:
  8. 1">      setenv LD_LIBRARY_PATH ${NOVAS_HOME}/share/PLI/VCS/LINUX

  9. endif




复制代码

注意:如果使用的是64Linux,需要把LINUX替换成LINUX64,其他操作系统参考Verdi文档。后面步骤使用的路径也要做同样的替换。

发表于 2017-12-26 18:16:07 | 显示全部楼层
thank you
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:34 , Processed in 0.017715 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表