在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13011|回复: 11
打印 上一主题 下一主题

[求助] 我想改文件名可怎么办?

[复制链接]
跳转到指定楼层
1#
发表于 2017-12-14 16:11:05 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在vivado中,程序组模块的时候,看着某个模块的名字(.v文件),心中懊悔不已,名字起的不好,不能很好的表达他本身的意思,所以我想改一改。

我的想法就是找到他的文件夹然后来个重命名,最后在工程中重新添加以下这个文件。

但是我感觉应该有更快捷的方法的。

大家有知道的吗?指点一下,小弟在此先行谢过。
2#
 楼主| 发表于 2017-12-14 16:14:26 | 只看该作者
大家好,不要理会我了,不要看这个帖子了,我该吃药了,脑残片。
3#
 楼主| 发表于 2017-12-14 17:30:41 | 只看该作者

右上角的名字是beam_form.v
但是我的module名却是beam_ctrl。我想让两者的名字都是beam_ctrl。
应该怎么办,只是改module名字是不行的。
4#
发表于 2017-12-15 11:12:40 | 只看该作者
貌似没有快捷方法。我一般是重新建立文件,重新命名。你在硬盘里面改名字感觉比我这种方法快。
5#
发表于 2017-12-15 14:10:28 | 只看该作者
VIVADO工具里面没有文件列表的窗口吗?也许从那里可以改,或者你读取文件有没有filelist?
6#
发表于 2017-12-18 09:36:46 | 只看该作者
回复 5# gaurson
有文件列表,但是目前为止没有找到快捷方式,可能就没有...
7#
 楼主| 发表于 2017-12-18 21:29:11 | 只看该作者
已经用最笨的方法解决了,复制,删除,新建。
8#
发表于 2017-12-21 08:51:15 | 只看该作者
回复 7# qiurijian
然而还是不快捷。
9#
发表于 2017-12-22 12:41:06 | 只看该作者
在工程目录下找 *.xpr 文件,这个工程文件是个文本文件,其中有包括vivado工程中所有source verilog文件的文件名,然后可以用手动或是用脚本大批量修改。
10#
发表于 2017-12-22 15:46:17 | 只看该作者
You should create a new file which is a copy of the old file with new name, then from Vivado, righ-click to the old file, select Replace file... and point to the new one
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 20:13 , Processed in 0.027326 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表