在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1590|回复: 5

[求助] 模块间的时钟约束问题

[复制链接]
发表于 2017-12-14 15:03:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
背景:有16个这样的模块,每个模块都是模拟+数字组合,数据串行进入,串行输出,中间模块首尾相连,上一个模块的输出接下一个模块的输入。
模块级联示意图
截图00.png
问题:现在采用模拟的方式,手动插入时钟树,每个模块的时钟应该满足一个什么样的要求?
假设每个模块需要5ns就可以输出有效数据,那么clock source 到相邻的两个模块的CLK端的latency要小于5ns即可?
 楼主| 发表于 2017-12-14 20:00:40 | 显示全部楼层
回复 1# mysoul

自己顶一个
发表于 2017-12-15 14:22:12 | 显示全部楼层
具体latency我不知道怎么算,但是应该先判断是否属于multi-cycle路径,那也许就可以有比较大的裕量了。
 楼主| 发表于 2017-12-16 15:40:21 | 显示全部楼层
回复 3# gaurson

一语惊醒梦中人啊,很有道理,我明白了,谢谢
 楼主| 发表于 2017-12-20 15:56:51 | 显示全部楼层
回复 3# gaurson


    如果不是多周期路径的话,是不是前一个模块输出数据时,后一个模块必须可以采样到就可以保证正确了,即二者的latency小于一个时钟周期
发表于 2017-12-21 13:48:47 | 显示全部楼层
理论上是这样的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 23:55 , Processed in 0.029244 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表