在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6254|回复: 7

[讨论] vcs后仿真中如何避免X值?

[复制链接]
发表于 2017-11-28 16:22:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问   在post sim的时候,由于网表中有不带复位的寄存器,导致这个寄存器的输出是X,在VCS工具中是否有相关的选项将其初始值设置为0?
发表于 2017-11-29 14:07:47 | 显示全部楼层
回复 1# 河源皮


    +vcs+initreg+random,这个是复位为随机值的。你可以找找相关的参数,是否可以实现复位为0。
 楼主| 发表于 2017-11-29 17:27:14 | 显示全部楼层
回复 2# gaurson


    你这个方法是针对前仿真的,就是定义为reg integer这种。但是后仿真是看不到reg变量的,此法不通
发表于 2017-11-29 18:36:19 | 显示全部楼层
找到这个DFF ,然后用deposit它的Q 为0或者1
 楼主| 发表于 2017-12-1 12:11:28 | 显示全部楼层
回复 4# y23angchen


   这个比force好用 thx
发表于 2017-12-15 19:59:15 | 显示全部楼层
脚本找到所有异步的寄存器,直接force,
同时检查这些寄存器是不是希望是异步的,是不是符合你的设计,保证综合或sta脚本是ok的
发表于 2018-6-12 20:08:09 | 显示全部楼层




    你说FORCE 是和意 ?  force 後不就 需放开

还有 vcs+INITREG+0  or vcs+initreg+1  好像无法使用 .
发表于 2021-9-11 14:09:28 | 显示全部楼层
码住
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 00:09 , Processed in 0.024188 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表