在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 26149|回复: 108

UART接口的IP核

[复制链接]
发表于 2007-6-17 12:40:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
UART接口的IP核,可以供大家在设计UART接口时参考。

uart_verilog.zip

9.15 KB, 下载次数: 1089 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2007-6-17 17:22:39 | 显示全部楼层
www.fpga.com.cn上也有提供。并有pdf版的详细说明,当然是英文的。
发表于 2007-6-19 14:56:30 | 显示全部楼层
有中文的文档吗?
发表于 2007-12-28 14:30:25 | 显示全部楼层
looking for it,thanks
发表于 2007-12-28 18:20:43 | 显示全部楼层
没有money...
发表于 2007-12-29 14:33:24 | 显示全部楼层
好东西,顶!
发表于 2008-1-15 14:44:59 | 显示全部楼层
谢谢,不过我好像从别处下过了。
发表于 2008-1-15 16:54:15 | 显示全部楼层
还不错,我从其他地方下过。
发表于 2008-1-22 16:28:25 | 显示全部楼层
学习学习
发表于 2008-1-22 17:15:17 | 显示全部楼层
说不错的人肯定是没好好研究过,我曾经做过模仿16550的东西,这样简单的uart在真正的项目中能有什么用呢?它的 出处是xilinx的网站上一个cpld参考设计中来的,而且象下面的描述,虽然综合工具不会报错,但肯定能误导一些人对verilog的认识!
always @(posedge clk1x or posedge rst or negedge clk1x_enable)
if (rst)
no_bits_sent = 4'b0000 ;
else if (!clk1x_enable)
no_bits_sent = 4'b0000 ;
else
no_bits_sent = no_bits_sent + 1 ;

verilog综合子集中很明确的说明了"always后面的posedge rst or negedge clk1x_enable"是异步实现的,
我看了这段代码的综合实现,是将clk1X_enable做为使能来实现的,也就说用了同步的方法
这就是典型的仿真语义与综合结果不一致的现象,一般的牛哥都几次三番说这样做不好!
我认为综合工具这样处理是正确的,但语言中描述为"异步使能"多少让人感到迷惑.
我用xilinx的东西快4年了,个人觉得它是极小气的公司,他如此小气,公开的一些源设计代码时自然不保证质量,所以小心!
小气不是说xilinx不好,结论是非常好!

[ 本帖最后由 loveineda 于 2008-1-23 07:58 编辑 ]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:42 , Processed in 0.028203 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表