在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2875|回复: 4

[求助] 超前进位加法器

[复制链接]
发表于 2017-8-16 16:08:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
问:超前进位加法器为什么比行波加法器快?超前进位加法器相对于后者只不过是把进位计算提前迭代进去了,实际电路还是一样级数的delay。。。瞬间不明白了,求大神指教。
发表于 2017-8-17 11:00:09 | 显示全部楼层
這是ripple-carry adder

                               
登录/注册后可看大图

C1 經過FA產生C2,
C2 經過FA產生C3
這是carry-lookahead adder

                               
登录/注册后可看大图

C1,C2,C3,C4 同時產生
发表于 2017-8-21 14:18:51 | 显示全部楼层
回复 2# wythelin

没图示,不明了。请上图
发表于 2017-8-21 15:42:49 | 显示全部楼层
1503301366160.jpg
沒有圖嗎?應該有圖的
這是我看到的
 楼主| 发表于 2017-8-23 15:38:40 | 显示全部楼层
回复 4# wythelin

我觉得这个图还是不怎么清楚表达delay大小,应该是从表达式(一定要代入并展开)看,对于任一bit c,cin都是经过一级与门和一级或门就到了cout,不存在进位链,我想这才是根本吧,个人理解。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 09:44 , Processed in 0.025691 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表