在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: newlearner1

[求助] 求助帖,FPGA,前仿真

[复制链接]
发表于 2017-7-27 09:46:11 | 显示全部楼层
仿真的时候CPU实际上是从前向后顺序执行的,所以module的例化应该放在初始化之后
发表于 2017-7-27 10:37:38 | 显示全部楼层
第二次贴的测试文件里面,实例化怎么写在initial模块里了,而且实例化名字也没有,应该会报错吧,还有你说的是测试信号输出的是高阻态?(是指设计模块的输入是高阻吗?),那很有可能就是你端口没连好了,你可以看看测试模块输出的信号是不是高阻
 楼主| 发表于 2017-7-27 11:53:22 | 显示全部楼层




  1. module counter_test;
  2.   reg clk1k;
  3.   reg rst_n;
  4.   reg pinlvkin;
  5.   
  6.   wire feedclko;
  7.   wire[18:0] count1kout;
  8.   

  9.   parameter CYCLE  = 1000;
  10.   parameter RST_TIME=3;
  11.   
  12.   
  13.   initial begin
  14.   clk1k = 0;
  15.   forever
  16.   #(CYCLE/2)
  17.   clk1k=~clk1k;
  18.   end

  19. initial begin
  20. rst_n = 1;
  21. #2;
  22.    rst_n = 0;
  23. #(CYCLE* RST_TIME)
  24.    rst_n = 1;
  25. end

  26. initial begin
  27.   pinlvkin = 0;
  28. forever
  29. #20
  30. pinlvkin = ~pinlvkin;
  31. end
  32. counter uut(
  33.    .clk1k(clk1k),
  34.    .rst_n(rst_n),
  35.    .pinlvkin(pinlvkin),
  36.    .feedclko(feedclko),
  37.    .count1kout(count1kout)
  38. );

  39. endmodule  



复制代码
回复 12# renfz
这是我修改后代码,还是一样结果 _CG0NM(6@GRVTTQJNP[}H.png
发表于 2017-7-27 13:49:53 | 显示全部楼层
这里还是用的counter uut?你确定你的module名字是counter吗?你确定编译没有问题吗?仿真中有没有什么告警和错误?也要仔细看看,那些信息更有帮助。比我们在这里盲人摸象好多了。
 楼主| 发表于 2017-7-27 15:36:40 | 显示全部楼层
回复 14# gaurson
嗯嗯,好
发表于 2017-7-27 16:39:50 | 显示全部楼层
timescale 设了吗
 楼主| 发表于 2017-7-27 16:48:31 | 显示全部楼层
回复 16# haimo
设定了,单位是1ps,精度1ns
 楼主| 发表于 2017-7-27 16:50:43 | 显示全部楼层
Unable to copy libPortabilityNOSH.dll to the simulation executable directory:
  我检查代码,仿真只出现了这一个警告,是不是问题出在这了?
发表于 2017-7-27 16:52:42 | 显示全部楼层
回复 17# newlearner1
单位是1ns,精度是1ps吧
 楼主| 发表于 2017-7-27 16:54:31 | 显示全部楼层
回复 19# haimo
怎末可能?1ps=1000ns呀
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:15 , Processed in 0.028741 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表