在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4726|回复: 7

[求助] 做DC综合时候添加约束文件的一些错误

[复制链接]
发表于 2017-5-24 19:27:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问当出现如下错误的时候,我应该从哪里入手查错呢?
当添加moore.con约束文件的时候,报错信息如下

source ../script/moore.con
Error: Cannot find the specified driving cell in memory.   (UID-993)
Error: Can't find lib_pin 'UofU_Digital/INVX4/A'. (UID-109)
Error: Value for list '<library_cell_pin>' must have 1 elements. (CMD-036)


以下是moore.con的内容

create_clock -period 10.0 clk
set_driving_cell -library UofU_Digital -lib_cell INVX4 [all_inputs]
set_input_delay 0.5 -clock clk [all_inputs]
set_output_delay 0.5 -clock clk [all_outputs]
set_load [load_of [format "%s%s%s%s%s" UofU_Digital "/" INVX4 "/" A]] [all_outputs]
set_fix_hold clk
set_fix_multiple_port_nets -all -buffer_constants
发表于 2017-5-25 13:10:44 | 显示全部楼层
我猜測應該是 INVX4 的input pin 不是A
发表于 2017-5-25 13:55:06 | 显示全部楼层
Error: Can't find lib_pin 'UofU_Digital/INVX4/A'. (UID-109)
就是找不到这个Pin脚
发表于 2017-5-26 09:10:15 | 显示全部楼层
回复 3# take1115

找不到那就去lib里看看pin名字咯,不叫A,是不是叫I之类的
发表于 2022-6-17 12:20:46 | 显示全部楼层
请问这个问题您解决了吗?我也是出现can't find pin的情况,但是我是用GUI界面设置的,他是自动识别的,应该不会出错吧。所以想问问您是咋解决的。
发表于 2022-6-19 20:39:34 | 显示全部楼层


北风1号 发表于 2022-6-17 12:20
请问这个问题您解决了吗?我也是出现can't find pin的情况,但是我是用GUI界面设置的,他是自动识别的,应 ...


是因为那个pin不存在,名字写错了,换成对的就可以了,欢迎加wx: pr-hao123,拉你进数字后端群,还可以加入知识星球
发表于 2022-6-20 14:21:50 来自手机 | 显示全部楼层
get_lib_cell,看看INVX的pin叫什么名字
发表于 2023-3-8 13:05:03 | 显示全部楼层
如果cell和pin都核对正确后,依然找不到相应的pin和cell,那么有可能是memory中没有读入相应库,需要link之后才能将库读入。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 14:19 , Processed in 0.031113 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表