在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1605|回复: 0

[求助] 有关uvm类的编译问题

[复制链接]
发表于 2017-5-24 16:30:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 清风403 于 2017-5-24 16:40 编辑

目前在学习张强的那本《UVM实战》这本书,但是我在使用他的例程的时候发现,项目的类都是不进行编译的,我尝试编译了一个,结果总是报错,不知道该用什么指令来编译。



  1. `ifndef MY_DRIVER_SV
  2. `define MY_DRIVER_SV
  3. class my_driver extends uvm_driver;

  4.   function new(string name = "my_driver", uvm_component parent = null);
  5.     super.new(name, parent);
  6.   endfunction
  7.   extern virtual task main_phase(uvm_phase phase);
  8.   
  9. endclass

  10. task my_driver::main_phase(uvm_phase phase);
  11.   top_tb.rxd <= 8'b0;
  12.   top_tb.rx_dv <= 1'b0;
  13.   while(!top_tb.rst_n)
  14.     @(posedge top_tb.clk);
  15.   for(int i = 0; i < 256; i++)begin
  16.     @(posedge top_tb.clk);
  17.     top_tb.rxd <= $urandom_range(0, 255);
  18.     top_tb.rx_dv <= 1'b1;
  19.     `uvm_info("my_driver", "data is drived", UVM_LOW)
  20.   end
  21.   @(posedge top_tb.clk);
  22.   top_tb.rx_dv <= 1'b0;
  23. endtask
  24. `endif


复制代码
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 09:05 , Processed in 0.015456 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表