在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3886|回复: 4

[求助] dc关于虚拟时钟的问题

[复制链接]
发表于 2017-5-23 16:17:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在约束中,对普通时钟进行定义约束,而后定义了一个虚拟时钟v_clk,a是个输入端,并且设置
     set_input_delay ** -clock v_clk [get_ports a]
那么在跑完综合,report_timing出来,路径中,start_point是a,其时钟域应该是v_clk吗,即v_clk会参与到路径时序的计算当中吗,还是只是充当一个input_delay的作用,没有图,抱歉,求解答,

ps.可能是对virtual clk还不是特别会用
发表于 2017-5-23 16:50:50 | 显示全部楼层
v_clk 会参与到端口路径的计算中, 保证虚拟时钟和实际时钟周期,相位相同的情况下, DC中你可以认为只是充当约束input/output delay的作用.
 楼主| 发表于 2017-5-23 18:35:37 | 显示全部楼层
回复 2# zmn


    看之前帖子对set_input_delay的解释是指 该输入信号是在时钟沿后多长时间到达模块的port上。这是指从PAD到模块的port上吗,模块里面不是也有一段距离才到reg上吗,希望给予指点,十分感谢!
发表于 2017-5-24 10:49:36 | 显示全部楼层
如果你做的是chip level可以那样理解, 一般情况下input delay表示的是从前一级flipflop的clock pin经过组合逻辑到input port的这段时间. 可以认为这段时间通常是估算的, input delay 跟你说的模块里面的那段时间没有关系. 模块里面的那段时间是可以真实反映在timing report里面的. 不是估算的.
 楼主| 发表于 2017-5-24 14:53:29 | 显示全部楼层
回复 4# zmn


    十分感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 09:17 , Processed in 0.021701 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表