在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5527|回复: 7

[求助] 求助verilog怎么测量正弦波频率

[复制链接]
发表于 2017-4-21 14:13:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
求助各位论坛大神,verilog怎么实现测量一个正弦信号的频率?(听说是先将信号整形成方波,那具体怎么实现
发表于 2017-4-21 23:25:34 | 显示全部楼层
放大、整形成方波,限幅送进FPGA,
FPGA内部做个等精度频率计
 楼主| 发表于 2017-4-30 16:11:08 | 显示全部楼层
回复 2# k331922164


   多谢了啊!再问下能不能用verilog写一个测量正弦波的模块?具体波形整形什么的可以在FPGA内部实现?
发表于 2017-5-16 23:21:06 | 显示全部楼层
FFT(Core)  测算频率
发表于 2017-6-16 09:00:21 | 显示全部楼层
谢谢大大们的分享
发表于 2017-7-12 10:00:46 | 显示全部楼层
电路低成本方案,就判0取反整形成矩形波,但是会引入不少矩形毛刺,逻辑需要做误差判断。。。
逻辑简单方案,就是用AD,进来直接FFT,统计功率
发表于 2017-9-30 14:40:54 | 显示全部楼层
使用模拟比较器整形,然后输出给FPGA,就可以了,
发表于 2021-5-17 01:33:18 | 显示全部楼层
Using a fast timer, you should sense the amplitude of the sine wave. start from 0, then it should go up and again return to 0, then go to its negative values and finally back to 0.
Measure time which has passed, then the frequency is simple 1/time.
We have assumed that the sine wave has no DC offset.
We also assumed that the waveform is a pure sine wave.
For more general ways, you can use FFT.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 11:13 , Processed in 0.023979 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表