在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1654|回复: 2

[求助] 关于多时钟域的综合问题

[复制链接]
发表于 2017-1-15 21:30:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
系统为了节省功耗,将一个时钟通过几个CLOCK GATE分成几个时钟,几个时钟域比较独立,请问这种情况下,该如何约束时钟。GATE都是手动例化

时钟图

时钟图
 楼主| 发表于 2017-1-15 21:37:07 | 显示全部楼层
目前我的约束是create时钟CLK,然后生成三个时钟,再设定4个时钟异步,请问这样做可以吗
发表于 2017-1-16 11:12:53 | 显示全部楼层
不行,create_clock会生成时钟。相互之间的时序关系不分析了。而同源时钟是否能作为异步处理,需要咨询设计。而且create_clock指令将时钟路径打断。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:46 , Processed in 0.020963 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表