在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1690|回复: 8

[资料] 【FPGA开源教程连载】第六章 阻塞赋值与非阻塞赋值

[复制链接]
发表于 2016-12-25 02:13:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
阻塞赋值与非阻塞赋值原理分析


实验目的:掌握阻塞赋值与非阻塞赋值的区别
实验平台:无
实验原理:
         阻塞赋值,操作符为“=”,“阻塞”是指在进程语句(initialalways)中,当前的赋值语句阻断了其后的语句,也就是说后面的语句必须等到当前的赋值语句执行完毕才能执行。而且阻塞赋值可以看成是一步完成的,即:计算等号右边的值并同时赋给左边变量。
         非阻塞赋值,操作符为“<=”,“非阻塞”是指在进程语句(initialalways)中,当前的赋值语句不会阻断其后的语句。
实验步骤:
         为了详细说明阻塞赋值与非阻塞赋值对实际形成电路的影响,以下写了五个设计。其中端口列表均为以下所示,各部分代码不再重复。
图片1.jpg

         首先在时序电路中使用阻塞赋值的方式,生成一个加法器。这种方式生成的实际逻辑电路如图7-1所示。
图片2.jpg

图片3.jpg

7-1
       现在把阻塞赋值的两条语句顺序颠倒一下,再次综合可以得到图7-2所示的逻辑电路。可以在调整顺序后与不调整时生成的逻辑电路不一致。现结合实验原理部分给出详细解释,当执行out=d +c时,d的数据此时并不是更新后a+b的数据,而是上一个Clk上升沿到来时d的数据,这也就解释了为何还有一个D触发器的存在。通俗讲阻塞,out的这条语句阻塞了d的语句执行。对比图7-1的代码,由于d的语句在out的前面,虽然使用了阻塞赋值但是相当于out=a+b+c
图片4.jpg

图片5.jpg

7-2
         现在把赋值方式改为非阻塞赋值,进行综合后可以看到如图7-3所示的逻辑电路。
图片6.jpg
图片7.jpg

图片8.jpg

7-3
         现在使用非阻塞方式,交换语句执行顺序,综合后实现的逻辑电路如图7-4所示。这里由于采用的非阻塞赋值,因此交换语句前后顺序并不会对最终生成的逻辑电路有实际影响。
图片9.jpg

图片10.jpg

7-4
为了在其各自的时序图中更直观的观察效果,新建仿真block_nonblock_tb.v文件保存到testbench文件夹下,输入以下内容再次进行分析和综合直至没有错误以及警告。本激励文件除产生正常的时钟以及复位信号外,还生成了a、b、c三个信号。这里调用待仿真文件使用的调用方式是显式调用,这种方式要求调用时信号顺序需要与编写的文件顺序一致且不能在一个激励文件中调用两次。可以看出这种方式容易出错,且具有局限性,不推荐使用因此之后的例子均不采用,此处只做介绍。
图片11.jpg
图片12.jpg
图片13.jpg
图片14.jpg

设置好仿真脚本后进行功能仿真,可以看到如图7-5所示的波形文件,可以看出在复位信号置高之前输出为0。直观看上去没有问题。现在放大细节可以看出如图
图片15.jpg
7-5
变化在第一个时钟沿之后因此第一个时钟沿检测不到,下一个时钟检测到011 直接赋值计算。
图片16.jpg
7-6
         放大细节可以看出,在第一个上升沿out还是0,这是由于虽然是非阻塞赋值并且d已经更新为1,但是实际电路中总会存在延迟,这个时钟沿out已经采不到当前d数据了还是采到0。为了更好的说明进行门级仿真。
图片17.jpg
图片18.jpg
7-7
         全编译后进行门级后仿,可以在图7-8清晰的看出这种现象。
图片19.jpg
7-8
         再次改为非阻塞赋值,如下所示综合出来如图7-9所示。可以与图7-1比较分析。
图片20.jpg

图片21.jpg

7-9
本节对比了 verilog 语法中阻塞赋值和非阻塞赋值的区别,通过证明非阻塞赋值多种赋值顺序生产电路的唯一性,与非阻塞赋值多种赋值书序生成电路的不确定性,来展示使用非阻塞赋值对设计可预测性的重要意义
掌握可综合风格的Verilog模块编程的八个原则会有很大的帮助。在编写时牢记这八个要点可以为绝大多数的Verilog用户解决在综合后仿真中出现的90-100% 的冒险竞争问题。
1) 时序电路建模时,用非阻塞赋值。
2) 锁存器电路建模时,用非阻塞赋值。
3) always块建立组合逻辑模型时,用阻塞赋值。
4) 在同一个always块中建立时序和组合逻辑电路时,用非阻塞赋值。
5) 在同一个always块中不要既用非阻塞赋值又用阻塞赋值。
6) 不要在一个以上的always块中为同一个变量赋值。
7) $strobe系统任务来显示用非阻塞赋值的变量值
8) 在赋值时不要使用 #0 延迟


小梅哥
芯航线电子工作室



class7_block_nonblock.rar

249.49 KB, 下载次数: 8 , 下载积分: 资产 -2 信元, 下载支出 2 信元

第六章_阻塞赋值与非阻塞赋值原理分析.pdf

846.34 KB, 下载次数: 10 , 下载积分: 资产 -2 信元, 下载支出 2 信元

class7_block_nonblock.rar

249.49 KB, 下载次数: 1 , 下载积分: 资产 -2 信元, 下载支出 2 信元

第六章_阻塞赋值与非阻塞赋值原理分析.pdf

846.34 KB, 下载次数: 1 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2016-12-25 13:39:15 | 显示全部楼层
谢谢分享,一直想把这个问题彻底搞清楚,先学习一下再请教
 楼主| 发表于 2016-12-26 00:28:08 | 显示全部楼层
多谢,讲的比较浅,欢迎大家多多讨论完善
发表于 2016-12-30 22:06:55 | 显示全部楼层
嗯嗯,终于明白阻塞和非阻塞的区别了,楼主讲的很好
发表于 2016-12-30 22:08:09 | 显示全部楼层
回复 4# hyhxl


   多谢肯定,我们只想为大家奉献真正教学意义上的资料
发表于 2016-12-30 22:08:44 | 显示全部楼层
赞 顶一个
 楼主| 发表于 2016-12-30 22:48:04 | 显示全部楼层
感谢大家的支持!!!
发表于 2017-1-4 10:09:06 | 显示全部楼层
感谢分享
发表于 2017-1-5 10:50:51 | 显示全部楼层
回复 1# 芯航线跑堂


    thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 18:33 , Processed in 0.036836 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表