在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5428|回复: 7

[求助] DC综合错误解决办法求解

[复制链接]
发表于 2016-10-27 20:11:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Error: Register 'sar_reg1_reg[9]' could not be mapped because sequential output inversion is disabled or the exact_map option is used. (OPT-1218)
Error: The register 'sar_reg1_reg[9]' is not mapped  because ofa lack of compatible library cells with correct clock/enable phase. (OPT-1217)
这两句错误,上次我解决了,今天怎么也想不起来了,求大神帮忙,不是什么大错误,上次怎么解决的实在想不起来了
发表于 2017-1-20 10:39:20 | 显示全部楼层
我也遇到了,同问同问啊,楼主你想起来没~~
发表于 2018-12-4 17:19:18 | 显示全部楼层
我也遇到同样问题了,楼上的大牛,怎么解决的
发表于 2018-12-4 20:53:32 | 显示全部楼层
opt 1217 很好理解,DC在单元库中找不到与代码描述区配的标准单元,只要你设置的target library正确,且你的标准单元库是正常的:包含必须的组合逻辑单元,与 或 非 异或,和时序逻辑单元:带异步复位置位的DFF(没有这个的话RTL代码里就不能有异步复位电路),所以检查RTL代码是否有问题
发表于 2018-12-5 08:35:22 | 显示全部楼层
rtl代码估计问题很大,使用的描述方法 clk,reset 的工作方式没有正常的cell能支持,写的是仿真用的rtl
发表于 2020-4-2 15:33:10 | 显示全部楼层
哦哦,学习了
发表于 2023-6-2 10:21:41 | 显示全部楼层
请问这个问题最后是怎么解决的啊
发表于 2023-6-3 21:48:06 | 显示全部楼层
写的rtl不可综合?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 04:26 , Processed in 0.036812 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表