在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7093|回复: 8

[求助] uvm_info的打印时间单位

[复制链接]
发表于 2016-10-22 15:25:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 whwjez 于 2016-10-22 15:59 编辑

请问uvm_info的打印时间单位怎么设置啊?
我在Makefile里面设置了-timescale=1ns/1ps,按理说单位应该是1ns,精度是1ps。

但是我在一个component的main_phase里面等待#300个时间单位,结果在uvm_info打印的信息是@300000,这个时间单位显然变成了1ps。

求解~

(PS:timescale是一个永远讨论不完的话题)

相关帖子

发表于 2016-10-22 15:52:15 | 显示全部楼层
uvm_info 这个是微秒吧 就是300ns了 这个时间单位体现在你#300 这个 是300ns   1ps精度是在仿真波形那边的时钟偏差吧
 楼主| 发表于 2016-10-22 15:55:45 | 显示全部楼层
回复 2# DS_LOGIC

1us=1000ns吧,你貌似算反了
发表于 2016-10-22 22:01:41 | 显示全部楼层
回复 3# whwjez

哦哦,弄错了,不是u妙 是p秒
 楼主| 发表于 2016-10-24 08:25:39 | 显示全部楼层
回复 4# DS_LOGIC


   是啊,现在就是不知道怎么设置uvm_info的打印时间单位
发表于 2016-10-24 08:49:46 | 显示全部楼层
$timeformat
发表于 2016-10-24 18:10:17 | 显示全部楼层
回复 5# whwjez


你直接设置`timescale 1ns/1ps 就行  这样你环境中使用到的时间单位就是1ns了 #200(ns)
 楼主| 发表于 2016-10-25 14:05:08 | 显示全部楼层
回复 7# DS_LOGIC


   你自己试了吗?为什么我试了不行?
发表于 2016-10-28 10:42:49 | 显示全部楼层
尝试下$timeformat,可以改变display的时间显示,uvm_info没试过可不可以。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 00:52 , Processed in 0.031579 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表