在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1800|回复: 1

[求助] VHDL中状态机的问题

[复制链接]
发表于 2016-9-19 22:02:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 Coherence 于 2016-9-19 22:05 编辑

本人是学生一枚,正在学习Vhdl语言,目前根据课程要求编写代码实现密码锁的功能。于是准备采用状态机来实现,但在仿真的时候出现了问题,问题如下:在ISE14.7仿真中,state并没有发生跳转,我的代码中state分为七个枚举类型:OUTLOCK,INLOCK,PS_INPUT,PS_RIGHT,PS_WRONG,ALarm,PS_CHANGE
但在仿真的时候,正常进入了开锁,等待,输入密码状态。问题出在第三个状态,本应该15s没有任何操作的情况下转变到第二个状态,但仿真中发现无法跳转,而且是只要进入了第三状态便无法跳入任何状态,不知道是什么原因,希望能够在这里得到一些帮助,谢谢啦!!!
cl_control.vhd代码具体如附件所示。
P.S.
小生第一次发帖,不知道为何不能直接贴代码,说有危险字符,故将代码放入附件中,还麻烦各位自行下载一下,万分感谢你们的帮助!
cl_control.txt (5.88 KB, 下载次数: 5 )
 楼主| 发表于 2016-9-19 22:07:14 | 显示全部楼层
大家如果还有什么疑问也可以问我的,希望大家帮帮忙
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 12:36 , Processed in 0.020095 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表