在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4159|回复: 13

[讨论] 后端关于CTS后的uncertainty

[复制链接]
发表于 2016-9-7 15:26:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
学习了半天,有好多疑问:
第一,后端为什么只在timing report中报出crosstalk的影响?还有其他的SI问题不关心吗?为什么都没听过要分析SI什么的在flow中。
第一,在CTS后,有人说要执行:remove_clock_uncertainty [all_clocks],执行完这个条命令不是吧design中的setup和hold的uncertainty都去掉了?怎么可以这样?
发表于 2016-9-7 22:38:00 | 显示全部楼层
谔谔,你确定是remove_clock_uncertainty,而不是remove clock latency
发表于 2016-9-8 00:19:10 | 显示全部楼层
要报一下Glitch,还要报一下时钟双沿
 楼主| 发表于 2016-9-8 10:52:34 | 显示全部楼层
回复 3# Timme

很开心收到您的回复,可是通过什么命令来报呢?要报一下Glitch,还要报一下时钟双沿?我就知道一个-delta。
还有对您说的双沿不是特别懂,input tran和output tran?
 楼主| 发表于 2016-9-8 10:59:12 | 显示全部楼层
回复 2# Lover_Momo


    哈哈,这都被你看出来了哦,我写错了,我其实就是不知道在CTS后,我应该怎样相应的改一下SDC约束,需要改set_input_delay?假如长完tree,我的inset delay是1,应该怎么考虑改SDC的约束?还需要改别的吗?
发表于 2016-9-8 13:43:05 | 显示全部楼层
set_input_delay是前端预估信号到chip port的一个delay值,这个值ARP是不能去改变的。它将伴随APR的一生,一直到timing signoff
发表于 2016-9-8 13:46:46 | 显示全部楼层
cts结束之后,clock上有了真实的delay,这个时候就需要把networklatency ideal clock什么的都去掉了,然后让工具计算clock 上的delay了。
cts之后可以使用cmd:
reset_propagated_clock [all_clocks]
update_io_latency
set_propagated_clock [all_clocks]

自己man,看一下。
发表于 2016-9-8 13:47:28 | 显示全部楼层
encounter tool cmd,icc的不太会。。。。
 楼主| 发表于 2016-9-8 16:29:40 | 显示全部楼层
回复 7# Lover_Momo


    我懂了,看到资料做完CTS,应该更新IO latency,其实就是更新从clock port到reg的clock delay吧?update_clock_latency命令是会自己做的?
另外我在CTS时遇到了一些问题:就是有5个clock是同步的,但是设计中还有generate clock,我应该怎么设置balance group?您在CTS前一般是怎么分析tree结构的?感觉自己不会分析tree的结构哦,不知道怎么入手
发表于 2016-9-8 19:25:28 | 显示全部楼层
tool generate spec file->generate trace file->cts之后看clock report,分析latency & skew。DRV什么的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 08:57 , Processed in 0.027991 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表