在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2189|回复: 1

[讨论] 新人求助

[复制链接]
发表于 2016-8-18 14:43:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用ise软件调试simple dual port ram 时数据没办法读出,是什么问题。附testbench程序module ram_test;


// Inputs

reg clk;

reg clka;

reg clkb;

reg reset_n;

reg wea;

reg [8:0]addra;

reg [8:0]addrb;

reg [31:0]dina;


// Instantiate the Unit Under Test (UUT)

wire [31:0]doutb;

ram_top uut (

.clk(clk),

.reset_n(reset_n),

.wea(wea),

.addra(addra),

.addrb(addrb),

.dina(dina),

.doutb(dounb)

);

initial clka=0;

always #4 clka=~clka;

initial clkb=0;

always #4 clkb=~clkb;

integer i;

initial begin

wea=0;

reset_n = 0;

addra=0;

addrb=0;

dina=0;

#101;

wea=1;

reset_n = 1;

for(i=0;i<510;i=i+1)

begin

addra=addra+1;

dina=32'h12345678+i;

#8 ;

end
       // wea=0;

  #80;

  wea=0;

  for(i=0;i<510;i=i+1)

  begin

addrb=addrb+1;

#8;

  end

  $stop;

end   
endmodule

modelsim仿真的结果是能写入,不能读出
发表于 2016-8-18 19:00:45 | 显示全部楼层
回复 1# wjxshuai


   你DUT的输入clka和clkb是不是没驱动。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 14:05 , Processed in 0.079757 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表