在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4048|回复: 10

[求助] 产生翻转信号

[复制链接]
发表于 2016-7-21 16:54:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

翻转

翻转

想问下,在没有时钟的情况下,可以通过信号vsync 产生sel信号吗?
今天试了很久都没能够得到,后来加了个时钟,发现并不能得到想要的波形,而且sel波形受时钟变化的影响。谢谢大家!
发表于 2016-7-21 19:38:29 | 显示全部楼层
可以的,用vsyns当时钟
发表于 2016-7-21 20:29:19 | 显示全部楼层
always @ (posedge vsync or negedge rst_n)
begin
      if  (!rst_n)
            sel <= 1'b0 ;
      else
            sel <= #DLY ~sel ;
end
 楼主| 发表于 2016-7-21 21:15:28 | 显示全部楼层
回复 3# 杰克淡定


  谢谢,但是vsync不是敏感信号,需要一个标识判定上升沿。
发表于 2016-7-22 09:21:05 | 显示全部楼层
用D触发器加一个反相器就可实现
发表于 2016-7-22 13:47:39 | 显示全部楼层
回复 5# SOMANY


    没有时钟,D触发器有什么用?
发表于 2016-7-22 13:49:20 | 显示全部楼层
回复 4# 黎释注册


   什么叫敏感信号?这个sel就是用sync上升沿触发锁出来的
发表于 2016-7-22 14:47:47 | 显示全部楼层




  1. module edge_detect(
  2.         input clk,
  3.         input rst_n,
  4.         input vsync,
  5.         output reg sel
  6.         );  
  7. reg vsync_r1;
  8. always @(posedge clk or negedge rst_n)
  9.         if(!rst_n)
  10.                 vsync_r1 <= 1'b0;
  11.         else
  12.                 vsync_r1 <= vsync;
  13. wire vsync_rise = vsync && (!vsync_r1);
  14. always @(posedge clk or negedge rst_n)
  15.         if(!rst_n)
  16.                 sel <= 1'b0;
  17.         else if(vsync_rise)
  18.                 sel <= !sel;
  19. endmodule



复制代码
Image 031.png
发表于 2016-7-22 18:05:16 | 显示全部楼层
回复 4# 黎释注册

什么敏感信号?你没有其他时钟,只能将vsync当成时钟使用了。
发表于 2016-7-22 18:07:41 | 显示全部楼层
回复 2# whz7783478

that's a pretty smart idea.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 16:25 , Processed in 0.029781 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表