在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2414|回复: 3

[求助] 时钟沿采样数据问题(有时采样到沿前的值有时又采样到沿后的值)

[复制链接]
发表于 2016-7-12 22:54:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在搭uvm环境写driver的时候碰到的问题,用时钟沿去采样接口信号,有些信号采样到沿前的值有些信号采样到沿后的值,导致在写driver时都不确定到底是采样到了沿前还是沿后的值,不知是哪个地方的问题,有碰到过类似问题的朋友是否能够帮我解答下,需要如何解决才能所有接口信号都采样到沿前的值,多谢了!
发表于 2016-7-13 19:09:48 | 显示全部楼层
要么调整接口外的数据信号延时,要么调整芯片内的时钟延时,最终目的都是使得采样时钟沿处于数据中间。DDR的数据采集原理也无非就是这两个办法。
发表于 2016-7-15 01:33:04 | 显示全部楼层
回复 2# 杰克淡定
  He is writing testbench, so just adding delay or use negative edge to sample is enough.
发表于 2016-7-15 15:19:53 | 显示全部楼层
用相反的时钟沿采,比较保险。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:19 , Processed in 0.366662 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表