在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1142|回复: 3

[求助] 求助时钟综合的问题

[复制链接]
发表于 2016-6-30 09:22:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
image.jpeg
我需要对这样一个时钟设计进行综合约束,应该怎么约束呢,
是对calls,clkb,clk_scan就行create_clock,那clk_in
应该怎么约束呢?
还是直接对clk_in进行约束就可以了呢?
发表于 2016-6-30 12:14:53 | 显示全部楼层
简单点对clk_in约束就可以了,注意一下同步异步关系
发表于 2016-6-30 12:15:31 | 显示全部楼层




   有个叫 [size=13.3333px]set_clock_groups [size=13.3333px]-logically_exclusive 的,你找找
 楼主| 发表于 2016-6-30 13:08:21 | 显示全部楼层
回复 2# jstaishi


    谢谢啊,那你所说的注意同步异步的关系是什么意思?
还有在clka,clkb域下有数据输入,然后mux,那是不是用clk_in设置mux之后的数据的input_delay就可以了?
谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 05:40 , Processed in 0.021008 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表