在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3919|回复: 5

[求助] 在cadence 的 ncsim 上如何跑 systemverilog assertion

[复制链接]
发表于 2016-6-2 11:21:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我希望用sva验证设计中的一个模块,在design文件中的相应module中编写了assertion,但是跑case的时候跑步起来,我想问一下是不是需要其他的一些操作或者指令来打开assertion这个功能呢?property p1;
@(posedgeclk)$fell(LMWLAST) |-> $stable(OBFS_wadr_int[31:7])throughout (LMVALID && LMWLAST);
endproperty

a1: assert property (p1);

c1: cover property (p1);

$dispaly(“property p1 passed”)
else
$display(“propertyp1 fell at %t ns ”, $time)

我把上面这段assertion加入到想要验证的module里面,然后跑case的时候总是提示error,说要在第一行p1用括号括起来,还要在第二行加入endmodule关键字,我觉得我的代码没问题,都是按照教材上的语法来写的,是不是nc的assertion功能还没有打开的原因呢?

求各路大神提点,拯救我这只小白
 楼主| 发表于 2016-6-2 15:59:16 | 显示全部楼层
up up up! 自己顶一个!
 楼主| 发表于 2016-6-6 09:11:05 | 显示全部楼层
没有大神知道吗
发表于 2016-6-6 21:34:32 | 显示全部楼层
编译时可加上 -sv 选项
 楼主| 发表于 2016-6-8 10:55:11 | 显示全部楼层
回复 4# zw84611


    我还是不太理解这个-sv的意思,这是一个command吗?我输入这个显示command not found,应该怎么加呢?

    我是直接用./sim_nc.pl 1 nc 这样的指令跑仿真,现在编译和仿真应该是一步操作吧?
发表于 2016-9-6 17:29:34 | 显示全部楼层
Assertion是SystemVerilog语言,仿真时需要加上-SV命令,才能让编译器识别Assertion,不然默认Verilog是不识别的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 15:09 , Processed in 0.024529 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表