在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10791|回复: 15

[求助] vcs编译出错,用-full64命令后无法生成simv文件

[复制链接]
发表于 2016-5-24 17:19:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人小白,用VCS编译.v文件出现如下问题:[dmy@dmy src]$ vcs tb_test_top.v test_top.v

Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version 'CentOS release 6.5 (Final)' is not supported on 'x86_64'
  officially, assuming linux compatibility by default. Set VCS_ARCH_OVERRIDE
  to linux or suse32 to override.
  Please refer to release notes for information on supported platforms.


Error-[VCS_COM_UNE] Cannot find VCS compiler
  VCS compiler not found. Environment variable VCS_HOME
  (/home/dmy/synopsys/vcs/vcs/linux) is selecting a directory in which there
  isn't a compiler '/home/dmy/synopsys/vcs/vcs/linux/bin/vcs1' for a machine
  of this type 'linux'.
  Please check whether 'VCS_HOME' is incorrect; if not, see below.
  The error message report included the following additional information:
  VCS_HOME


Error-[VCS_COM_UNE] Cannot find VCS compiler
  VCS compiler not found. Environment variable VCS_HOME
  (/home/dmy/synopsys/vcs/vcs/linux) is selecting a directory in which there
  isn't a compiler '/home/dmy/synopsys/vcs/vcs/linux/bin/vcs1' for a machine
  of this type 'linux'.
  Please check whether 'VCS_HOME' is incorrect; if not, see below.
  The error message report included the following additional information:
  VCS_HOME <null string>

Perhaps vcs hasn't been installed for machine of type "linux".
Or the installation has been damaged.
To verify whether vcsJ-2014.12 supports machine of type "Linux 2.6.32-431.el6.x86_64",
please look at ReleaseNotes for more details .
We determine the machine type from uname; maybe uname is incorrect.
You can fix installation problems by reinstalling from CDROM
or downloading it from the Synopsys ftp server.
For assistance, please contact vcs technical support
at vcs_support@synopsys.com or call 1-800-verilog


在网上查了一下,有人建议添加-full64,如下:
[dmy@dmy src]$ vcs -full64 tb_test_top.v test_top.v

Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version 'CentOS release 6.5 (Final)' is not supported on 'x86_64'
  officially, assuming linux compatibility by default. Set VCS_ARCH_OVERRIDE
  to linux or suse32 to override.
  Please refer to release notes for information on supported platforms.

                         Chronologic VCS (TM)
       Version J-2014.12-SP1_Full64 -- Tue May 24 17:13:48 2016
               Copyright (c) 1991-2014 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file 'tb_test_top.v'
Parsing design file 'test_top.v'
Top Level Modules:
       tb_test_top
TimeScale is 1 ns / 1 ps
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module tb_test_top
rm -f _csrc*.so amd64_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
ld -shared  -o .//../simv.daidir//_csrc0.so amcQwB.o
rm -f _csrc0.so
make: execvp: gcc: Permission denied
make: *** [rmapats.o] Error 127
Make exited with status 2
cpu time: 1.814 seconds to compile + 1.785 seconds to elab + .008 seconds to link


但是这样做在当前目录下仅生成了csrc文件夹和simv.daidir文件夹,并没有需要的simv文件
有没有人知道是哪里出了问题呀,跪谢!
发表于 2016-5-25 07:36:53 | 显示全部楼层
how to generate vpd file in vcs
 楼主| 发表于 2016-5-25 16:59:53 | 显示全部楼层
回复 2# amarnath1683


   好像还每到生成vpd那一步
发表于 2016-6-15 14:57:56 | 显示全部楼层
这个问题,应该是环境变量没有设对
发表于 2017-8-2 18:07:38 | 显示全部楼层
楼主问题解决了吗
发表于 2017-8-3 17:00:17 | 显示全部楼层
好像是os是centos, 却安装了x86_64版本的软件。
不知道可以兼容吗。
在设置VCS_HOME时,试一下:
set VCS_ARCH_OVERRIDE llinux
发表于 2020-2-10 16:52:49 | 显示全部楼层
set VCS_ARCH_OVERRIDE llinux好像不好使,请问楼主这个问题解决了吗?无法产生simv文件
发表于 2020-2-10 16:53:51 | 显示全部楼层
我的版本是2016 的
发表于 2020-2-26 16:56:50 | 显示全部楼层
echo $UVM_HOME  看看有没有,再继续找原因。 应该是VCS软件没配置对
发表于 2020-6-30 10:26:17 | 显示全部楼层
我也有同样的问题,是不是gcc版本的问题 我的是gcc4.8.5,求解答
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:50 , Processed in 0.042453 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表