在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 50759|回复: 173

[原创] Verdi3-I-2014.03的安装破解和简单使用教程(图文版,带Makefile脚本)

[复制链接]
发表于 2016-4-19 10:36:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Verdi3-I-2014.03的安装破解和简单使用教程(图文版,带Makefile脚本)
1、
安装环境
系统:RHEL 6.5 64bit
VCS软件版本:VCS2013.06
Synopsys license破解文件:已含Synopsys license,如果未安装过Synopsys的license,首先需要按照Synopsys破解的通用方法进行破解。可参看《Synopsys license生成教程》。


2、
安装软件下载
http://bbs.eetop.cn/thread-449076-1-1.html
下载到windows下解压后如下


将上面的13个.tar文件拷贝到linux系统下的~/synopsys/verdi2014.03文件夹下。
另外提供一个百度网盘下载地址:
http://pan.baidu.com/share/link?shareid=1823722812&uk=3392620868&third=0&dir=%2FEDA%2FVerdi&page=1&adapt=pc&fr=ftw#path=%252FEDA%252FVerdi


3、
所有tar文件解压,解压命令为
tar -xvf syn2SymDB-I-201403.tar
tar -xvf Verdi3-I-201403-basic.tar
tar -xvf Verdi3-I-201403-demo.tar
tar -xvf Verdi3-I-201403-doc.tar
tar -xvf Verdi3-I-201403-extra_dumpers.tar
tar -xvf Verdi3-I-201403-HwSwDebug.tar
tar -xvf Verdi3-I-201403-LINUX64.tar
tar -xvf Verdi3-I-201403-LINUX.tar
tar -xvf Verdi3-I-201403-mdtlib.tar
tar -xvf Verdi3-I-201403-NPI.tar
tar -xvf Verdi3-I-201403-symlib.tar
tar -xvf Verdi3-I-201403-systemc.tar
tar -xvf Verdi3-I-201403-VIA_box.tar
解压后的文件为


4、
环境变量设置,在~/.bashrc中增加下面语句
########configurations for Verdi2014######
export PATH="/home/csu/synopsys/Verdi3_2014.03/bin:"$PATH
export NOVAS_HOME="/home/csu/synopsys/Verdi3_2014.03"
export LD_LIBRARY_PATH="/home/csu/synopsys/Verdi3_2014.03/share/PLI/lib/LINUX64"$PATH
注:一定将环境变量命名为NOVAS_HOME,否则在运行Verdi时,会报以下错误
libsscore_vcs200606.so: cannot open shared object file: No such file or directory
Failed to load FSDB dumper.
5、
至此,Verdi已安装成功,在terminal中输入verdi即可出现界面


6、
为了用verdi查看波形,本教程提供了一个演示的文件Verdi_tb,其中gen_circulation.v是一个产生循环数的简单testbench。gen_circulation.v需要增加产生fsdb波形的函数
    initial
    begin
        $fsdbDumpfile("gen_circulation.fsdb");
        $fsdbDumpvars;
    end
7、
vcs运行gen_circulation.v产生波形分两步:编译compile和仿真simulation
编译命令
vcs  -licqueue  -timescale=1ns/1ps  -full64  -debug_pp  -sverilog  +v2k  +notimingcheck  +nospecify  -l compile.log  +libext+.v+.h+.vh+.vlib  -debug_pp -P /home/csu/synopsys/Verdi3_2014.03/share/PLI/VCS/LINUX64/novas.tab  /home/csu/synopsys/Verdi3_2014.03/share/PLI/VCS/LINUX64/pli.a gen_circulation.v
编译结果


仿真命令
./simv -l sim.log +notimingcheck +nospecify
仿真结果,此时有gen_circulation.fsdb波形文件生成


8、
为了操作方便,可以通过Makefile来执行上面的两步,
编译
make compile f=gen_circulation.v
仿真
make simulate
编译+仿真
make all f=gen_circulation.v
清除编译和仿真文件数据
make clean
9、
用verdi打开gen_circulation.v文件及其波形
verdi gen_circulation.v
打开如下


10、
打开波形文件


11、
选择需要显示的信号
选择信号a,右键选择Add Signal to Waveform。快捷键为ctrl+w




12、
至此Verdi3-I-2014.03的安装破解和简单使用教程结束,Verdi的更多基本和高级用法可参见PPT《Verdi Basic Training & Technical Overview》
By Trueme
2016/4/19
PS:刚开始接触Verdi,希望这个简单的教程能略微帮助到大家。
0.jpg

1.jpg

2Synopsys license生成教程.jpg

Verdi3-I-2014.03的安装破解和简单使用教程(图文版,带Makefile脚本).zip (10.63 MB, 下载次数: 4563 )
发表于 2016-4-19 21:53:23 | 显示全部楼层
学习信息
发表于 2016-4-19 23:27:16 | 显示全部楼层
坑爹玩意,下载下来也是错的,花我那么多钱
 楼主| 发表于 2016-4-20 15:51:40 | 显示全部楼层
本帖最后由 真我个性 于 2016-4-20 15:55 编辑

回复 3# Autumn放牛娃


   为什么是错的?你是说Verdi软件不对吗?可参考http://bbs.eetop.cn/thread-576750-1-1.html提供的网盘下载软件
http://pan.baidu.com/share/link?shareid=2526057214&uk=2969622336
发表于 2016-4-26 01:07:52 | 显示全部楼层
最美楼主!
发表于 2016-4-26 07:56:08 | 显示全部楼层
此贴收藏,如此详细,赞一个
发表于 2016-4-29 11:14:11 | 显示全部楼层
谢谢分享
发表于 2016-5-6 16:51:15 | 显示全部楼层
回复 1# 真我个性


   多谢多谢
发表于 2016-5-7 01:11:35 | 显示全部楼层
thanks master
发表于 2016-5-13 09:48:07 | 显示全部楼层
本帖最后由 dzplay 于 2016-5-13 10:20 编辑



写的很详细,赞一个!
不知如何与已破解的[size=1.17em]VCS-I-2014.03同时使用。目前我的vcs正常使用。
verdi开启时license有问题,Verdi -licdebug提示如下:
rcfile = /usr/synopsys/Verdi3-I-2014.03/etc/novas.rc
guiConfFile = /root/novas.conf
FLEXnet Licensing checkout error: License server system does not support this feature.
Feature:       Verdi
License path:  27000@vali_001
FLEXnet Licensing error:-18,147
For further information, refer to the FLEXnet Licensing End User Guide,
available at "www.macrovision.com".
license file(s):  27000@vali_001
[SCL] 05/13/2016 07:16:00 PID:2740 vali_001 Checkout denied Verdi 2014.03
Could not checkout Verdi license. Use Verdi -licdebug for more information.

[root@vali_001 /]#

//////////////////////////////////////////////////////////////////////////////////////////
license 大小:113.8 KB (116525 bytes)


//////////////////////////////////////////////////////////////////////////////////////////
bashrc配置详情:


#### add for vcs_mx_I-2014.03 ####dd
# tool
export LM_LICENSE_FILE="/usr/synopsys/license/synopsys.dat"LM_LICENSE_FILE
#export SNPSLMD_LICENSE_FILE=27000@vali_001
export SNPSLMD_LICENSE_FILE=27000@vali_001
#export SNPSLMD_LICENSE_FILE="/usr/synopsys/license/synopsys.dat"
export SYNPS_HOME=/usr/synopsys
export VCS_CC=gcc
export VCS_ARCH_OVERRIDE=linux
# mx
export PATH="/usr/synopsys/11.4/amd64/bin:"$PATH
#export VCS_HOME=$SYNPS_HOME/vcs_mx_vI-2014.03
export VCS_HOME=$SYNPS_HOME/I-2014.03
export PATH=$VCS_HOME/binVCS_HOME/amd64/binPATH
#export PATH=$VCS_HOME/bin:$VCS_HOME/gui/dve/bin:$PATH
##
#export PATH="/usr/synopsys/scl/amd64/bin:"$PATH
alias lmli2="lmgrd -c /usr/synopsys/license/synopsys.dat -l ~/syn_lic.log"
alias lmli3="lmgrd -c /usr/synopsys/license/synopsys.dat"
alias lmst3="lmstat -c /usr/synopsys/license/synopsys.dat"
alias sssv="/usr/synopsys/11.4/amd64/bin/sssverify  /usr/synopsys/license/synopsys.dat"
## verdi
#export VERDI_HOME=/usr/synopsys/Verdi3-I-2014.03
#export PATH=$VERDI_HOME/bin:$VERDI_HOME/platform/LINUX64/bin:$PATH
########configurations for Verdi2014######
export PATH="/usr/synopsys/Verdi3-I-2014.03/bin:"$PATH
export NOVAS_HOME="/usr/synopsys/Verdi3-I-2014.03"
export LD_LIBRARY_PATH="/usr/synopsys/Verdi3-I-2014.03/share/PLI/lib/LINUX64"$PATH

##################################
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 12:44 , Processed in 0.036550 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表