在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1515|回复: 1

[求助] uvm初始化dut内部ram请教

[复制链接]
发表于 2016-4-12 20:21:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚接触uvm一阵子,现在有这么一种需求,需要每条testcase都有一组初始化数据去初始化dut内部的某个ram(dut外部没有初始化内部ram的接口)。
以前相对的做法是在testbench top层去初始化,但现在要求初始化数据是从sequence发过来的数据包而且每条testcase需要的初始化数据都是不同的。
之前学习uvm基础的时候只知道driver向sqr申请,数据包才会发送过来用来drive dut的输入信号,但是现在dut没有外部接口去初始化内部ram而且每条testcase
需要的初始化数据都是不同的。
不知道这种需求要如何实现,望各位有相关经验的朋友指点一下,不甚感激!
发表于 2016-4-14 09:21:29 | 显示全部楼层
RAM是全局的话, 可以考虑它挪到DUT外部,然后用task or function封装下,就可以被各种testcase通过不同的参数调用来传递不同的初始化数据了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 11:42 , Processed in 0.015677 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表