在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2932|回复: 5

[求助] 关于systemverilog仿真的一个奇怪问题

[复制链接]
发表于 2016-4-11 17:17:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
今天在用questasim仿真systemverilog语言写的平台时,发现一个奇怪的问题如下:
class A ;                           
    int i ;
endclass

class B ;
    A a0 ;
    int j ;
    task run();
        A a1 ;
        a0=new ();
        a1=new ();
    endtask
endclass
这种写法是正常的。但是如果
把task中的头二句换一下,就会报错。即
class A ;
    int i ;
endclass

class B ;
    A a0 ;
    int j ;
    task run();
        a0=new ();
        A a1 ;
        a1=new ();
    endtask
endclass
这个时候综合就会报错,
** Error: D:/Project/self-study/SV/class_in_class/class_in_class.sv(11): near "a1": syntax error, unexpected IDENTIFIER, expecting #
** Error: D:/Project/self-study/SV/class_in_class/class_in_class.sv(12): (vlog-2730) Undefined variable: 'a1'.
请问这是什么原因?
是因为在第二种情况下,a1的new函数会和a0一起提前运行的原因吗?感觉好奇怪
发表于 2016-4-11 20:24:40 | 显示全部楼层
A a1 ;声明必须在task头部,执行语句的前面。
 楼主| 发表于 2016-4-12 08:18:26 | 显示全部楼层
回复 2# guantou


    多谢指导!
发表于 2016-4-13 11:25:29 | 显示全部楼层
先声明变量,再执行其他运行语句,基本规则
发表于 2016-7-14 05:41:13 | 显示全部楼层
如同前面的回覆,
宣告變數必須要在 begin ... end block 的開頭,
所以像是下列寫法也可以:

class A ;
    int i ;
endclass

class B ;
    A a0 ;
    int j ;
    task run();
    begin
        a0=new ();
        begin : use_a1
        A a1 ;
        a1=new ();
        end
    end
    endtask
endclass
发表于 2016-8-30 17:58:11 | 显示全部楼层
声明在前
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 04:10 , Processed in 0.024196 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表