在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1595|回复: 2

[求助] 请教modelsim6.3仿真altera fft ip core问题。

[复制链接]
发表于 2016-4-9 16:24:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 andrewqu 于 2016-4-9 18:31 编辑

用modelsim编译没有问题,但是在仿真的时候sink_ready信号一直不能拉高,如下图不知道是什么原因?请教下,有人遇到过这种情况吗?如何解决?谢谢
 楼主| 发表于 2016-4-9 18:48:10 | 显示全部楼层
图片如下

发表于 2016-6-18 16:49:22 | 显示全部楼层
不知道楼主解决没有,你这个问题应该是仿真的时候reset信号的时间太短,要求必须满足一个时钟周期才行。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:24 , Processed in 0.020165 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表