在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1699|回复: 1

[求助] 求一介绍verilog实现数字滤波器的书

[复制链接]
发表于 2015-12-10 17:49:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题,求一介绍verilog实现数字滤波器的书。想设计一简单的数字环形滤波器,滤波器建构看了一些,但对用verilog实现还是不怎么好下手,求大神给点资料或讲解哈!
发表于 2015-12-16 12:53:12 | 显示全部楼层
滤波器系数和结构出来了,还是比较容易用verilog描述的吧?看过 VLSI数字信号处理系统与实现,这本书上有一些优化的结构,不知道是不是你想要的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:10 , Processed in 0.019441 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表