在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12563|回复: 6

[求助] 用verilog怎么实现有符号数的右移?

[复制链接]
发表于 2015-10-27 16:51:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
就是所谓的算术右移,一般移位的时候,左边或者右边补0,当它是有符号数的时候就要补1了,而且我要一下移好多位,移动的位数是一个不确定的(输入值),应该怎么实现?
 楼主| 发表于 2015-10-29 18:32:20 | 显示全部楼层
恩恩谢啦,我已经搞明白啦,看我的最新的回复哈,下次有问题再交流
 楼主| 发表于 2015-10-29 18:29:35 | 显示全部楼层
是我之前描述的不清楚,其实就是对一个有符号的数比如A实现算术右移(假设移1位),我之前就是纠结于补自己如何符号位的问题,现在知道怎么做了,第一可以直接定义的时候 定义该数据为有符号数,即 input signed[32:0]A;在进行逻辑右移时,用符号">>>"就可以实现了,对于无符号数算术右移用这个">>"就可以了。第二种方法是,自己手动补符号位,Ao<={31{A[31]},A}>>1。这样就可以啦。终于想起来了,拿来和大家分享下。
发表于 2015-10-28 19:05:48 | 显示全部楼层
其实Verilog是可以实现的,如果你说的详细些,我们可以给与更详细的建议,Verilog能够实现很复杂的逻辑和运算,你说的这个应该不是问题。
我之前处理过正弦波,数值是有符号的,对正弦波进行乘法和除法运算,并保持符号不变,几条语句就可以实现,仿真和调试后都是满足的。你需要明确你的需求。
感觉没有描述清楚你的需求或者你还没有想明白具体的需求。。
发表于 2015-10-28 09:23:48 | 显示全部楼层
回复 3# sgj821


   如果移动的位数n的取值有限的话,用case(n)语句就行了。
 楼主| 发表于 2015-10-27 19:54:11 | 显示全部楼层
回复 2# xpw

不对啊,右移是相当于除以2,可以用>>>这个符号实现,不想用,想自己给它赋值,如果是个负数,右移多少位左边就补多少个1,我知道思路,用Verilog实现不了。写不出来代码
发表于 2015-10-27 17:42:05 | 显示全部楼层
直接补零或者截位不行么?
比如-5=(1101),右移一位相当于乘以2,补一个零,即-10=(11010),不是一样的么
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 12:53 , Processed in 0.032581 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表