在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1968|回复: 6

[求助] 请教大虾verilog语法。

[复制链接]
发表于 2015-10-27 12:03:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
阅读verilog文件见下面代码,不甚明白,敬请帮忙解读。
reg scall_m, scall_w;
always @(negedge clk_i)
begin
if (Platform_u.Platform_u.LM32.cpu.stall_m == 1'b0)
begin
scall_m <= Platform_u.Platform_u.LM32.cpu.scall_x &
Platform_u.Platform_u.LM32.cpu.valid_x;
scall_w <= scall_m & Platform_u.Platform_u.LM32.cpu.valid_m;
end

谢谢。
发表于 2015-10-27 13:51:19 | 显示全部楼层
你被长长的变量名吓到了吧,这个就是在时钟下降沿到来时if满足就赋值,两个赋值都是与运算
 楼主| 发表于 2015-10-27 13:53:34 | 显示全部楼层
回复 2# powermm


    请问这个是标准verilog语法么?
发表于 2015-10-27 14:15:50 | 显示全部楼层
回复 3# ddxx
不是的吧,正常变量赋值都有初值的,就是要有个复位信号,一般都是异步复位
不知道你这个程序截取的全不,一般if都与else成对出现的,如果缺少else项就会生成锁存器
 楼主| 发表于 2015-10-27 15:23:13 | 显示全部楼层
回复 4# powermm


    代码很长,这只是其中一个block。总觉得语法与verilog有差别。
发表于 2015-10-27 15:51:30 | 显示全部楼层
回复 1# ddxx


   这是system verilog,一般用于测试。那些带点的信号是cross module singnal,前面都是hierarchy。
 楼主| 发表于 2015-10-27 16:11:53 | 显示全部楼层
回复 6# harry_hust


    谢谢了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 07:37 , Processed in 0.029387 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表