在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2948|回复: 5

[求助] 一模一样的sp文件在linux下可以跑,windows下为什么不行····

[复制链接]
发表于 2015-9-15 01:14:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的程序之前一直都是linux下跑的,然后给其他人用,是在window下,然后就完全不对了程序是这样的,也很简单,就是不知道为什么window下仿真不出来。。:
**********************bsim4 transfer curve test 1000 demo*******************
.option abstol=1e-6 reltol=1e-6 post ingold

.hdl 'bsim4.va'
.include 'modelcard.nmos'
.include 'modelcard.pmos'

*.param VTHN_1 = agauss(0.107321116279, 0.0458969082017)

***********05
*.param VTHN_1 = agauss(0.35521116279, 0)
***********06
*.param VTHN_1 = agauss(0.38021116279, 0.00458969082017)
***********04
*.param VTHN_1 = agauss(0.34521116279, 0)
**********03
.param VTHN_1 = agauss(0.33721116279, 0)
*.param U00_1 = agauss(0.0184927372093, 0.0064330609165)
.param U00_1 = agauss(0.0184927372093, 0)
.param SSFACTORR_1 = 0.6895
.param UAA_1 = 4.6576e-11
.param CDSCC_1 = 1.367e2
.param RDSWW_1 = 462.5

.param Vmax = 0.3
***************************
vin in 0 Vmax
vdd upline 0 Vmax

**************************
X1n upline in 0 0 nmos L=200e-9 W=3e-6 VTH0=VTHN_1 U0=U00_1 SSFACTOR=SSFACTORR_1 UA=UAA_1 RDSW=RDSWW_1 CDSC=CDSCC_1

**************************

.dc vin -0.6 1 0.01 monte=10
.probe dc ids=par`-i(vdd)`

.end
 楼主| 发表于 2015-9-15 01:18:22 | 显示全部楼层
仿真得到的Ids都是一个值,根本不随Vgs的变化而变化,要哭了,搞不定啊
发表于 2015-9-15 06:58:48 | 显示全部楼层
回复 2# 贾润东

windows version verilogA  have bug
vc-comp ..以前跑過某些版本是有 bug .
拿 hspice example 內 resistor.va 去跑
會當在那邊 ..

linux 無此問題 .
至少發現不只一個 windows version 有這類 bug .
发表于 2015-9-18 22:29:01 | 显示全部楼层
能把 bsim4.va 内容贴出来吗?一起看看什么问题
 楼主| 发表于 2015-9-25 23:10:42 | 显示全部楼层
回复 4# mintonor

va文件就是网上下的,没有改过,应该没问题吧~
发表于 2016-2-4 17:16:57 | 显示全部楼层
同样问题,求解........................
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 14:31 , Processed in 0.026456 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表