在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4668|回复: 6

[调查] saif_map -write_map ... (generate empty file)

[复制链接]
发表于 2015-7-5 21:48:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Hi all,
I'm trying to generate a "Name Mapping File" for primetime px, starting from a sldb description of "DW_ram_rw_s_dff.v". For normal design with verilog source I don't have any problems but if I use a sldb description (dw_foundation.sldb), design compiler generate an empty "Name Mapping File".
For the simulation I used the verilog code provided by synopsys.

This is my piece of code to generate the Name Mapping File:





  1. saif_map -start
  2. ...
  3. sh vcd2saif -input ../sim/rtlvcd.dump -output ./rtlvcd.saif
  4. saif_map -review -create_map -source_instance test/u0 -input ./rtlvcd.saif
  5. saif_map -report
  6. saif_map -write_map ./aes_cipher_ptpxmap.tcl -type ptpx


复制代码


I attach my full script and the log.
syn.tcl.doc
tim_rtlvcd.tcl.doc
log_syn.doc

Do you have any idea how to solve the problem?
The presence of "Name Mapping File" affects a lot on the estimate of consumption?

Thanks for your help!

syn.tcl.doc

1.18 KB, 下载次数: 32 , 下载积分: 资产 -2 信元, 下载支出 2 信元

tim_rtlvcd.tcl.doc

1.77 KB, 下载次数: 23 , 下载积分: 资产 -2 信元, 下载支出 2 信元

log_syn.doc

697.17 KB, 下载次数: 26 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2015-11-24 09:15:07 | 显示全部楼层
楼主问题解决了吗,我也遇到同样问题,不知道如何解决
发表于 2015-12-22 14:42:17 | 显示全部楼层
回复 1# shedo


Have u fixed this problem, sir ?
I have met the same fault
发表于 2015-12-22 14:46:02 | 显示全部楼层
同问~
发表于 2016-11-30 13:13:12 | 显示全部楼层
同问~~
发表于 2018-3-15 21:12:30 | 显示全部楼层
很好,值得一看
发表于 2018-3-15 21:13:40 | 显示全部楼层
不错的东西
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:32 , Processed in 0.033964 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表