在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: raindroper

[求助] VCS后仿遇到Timing violation问题请教

[复制链接]
 楼主| 发表于 2015-5-21 15:12:52 | 显示全部楼层
回复 9# qyxu1979


   因为在进入复位、上电、离开复位每个过程都等了50个arm指令周期,而且vcs DUMP的波形特别大,所以严重影响仿真速度。所以,我现在想知道有没有办法下一次仿真的时候,从中间某一(复位之后)时刻开始仿真?    synopsys的人已经好久没见到了,(⊙﹏⊙)b.....
 楼主| 发表于 2015-5-21 15:14:12 | 显示全部楼层
回复 10# icfbicfb


   STA过了
发表于 2015-5-21 15:44:59 | 显示全部楼层
复位阶段多少ns?50个指令周期应该也不长呀。vcs波形后仿是比较大

在环境里加延迟,等过了复位阶段再启动环境工作,uvm的话可以放在reset_phase阶段。dump波形可以控制dump波形的时刻,复位阶段不dump波形
发表于 2015-5-21 19:21:58 | 显示全部楼层
第1个问题你看看报出错那个文件设置的timescale为多少?
发表于 2015-5-23 09:36:51 | 显示全部楼层
好东东啊
 楼主| 发表于 2015-5-28 17:37:05 | 显示全部楼层
回复 14# qyxu1979


   前面说的显示不到ps级的问题解决了,在TB里面加了这样一句:$timefomat(-9, 3, "ns", 10);
发表于 2019-5-15 09:50:36 | 显示全部楼层
有没有报违规路径出来,试着插BUF看能解决不
发表于 2022-4-4 17:54:36 | 显示全部楼层
你好,楼主,请问问题解决了吗,我也遇到了相同的问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 20:15 , Processed in 0.023487 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表