在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1327|回复: 0

[求助] VHDL综合,link,例化失败。

[复制链接]
发表于 2015-5-12 15:22:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一个cell: DFF的VHDL如下
entity of DFF is
  generic (width: integer);
  PORT (CP, 
        D(0 to width-1),
        Q(0 to width-1));
end entity DFF


例化DFF,希望得到两个instance,VHDL如下:U1:entity work.DFF
  GENERIC MAP (width=>2);
  PORT MAP (CP=》CLK;D=>DI(0 to1),Q=>DO(0 to1));

希望综合结果:U1_1 :entity DFF PORT MAP (CP=》CLK;D=>DI(0),Q=>DO(0));
       U1_2 :entity DFF PORT MAP (CP=》CLK;D=>DI(1),Q=>DO(1));
但是DC综合出来的是:重新create一个entity:DFF01(两位的寄存器)
     U1:entity DFF01 PORT MAP (CP=》CLK;D=>DI(0 to1),Q=>DO(0 to1));


问题:VHDL是IP,不可修改,如何设置DC,能综合出两个instance,而不是一个两位的FF?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 03:18 , Processed in 0.014618 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表