在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 958|回复: 0

[讨论] DC综合VHDL,例化的元件找不到cell

[复制链接]
发表于 2015-5-7 15:10:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zhq415758192 于 2015-5-7 19:12 编辑

Vhdl中例化了一个单元库的cell: U1:entity BUFX1 port map (A=>sig1, Y=>sig2);

analyze报错:Error: Name BUFX1 is unknown。

link_library和search_path都设置正确。
DC综合VHDL应该怎么例化元件?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:50 , Processed in 0.019823 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表