在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17732|回复: 8

verilog 双向口定义问题请教

[复制链接]
发表于 2007-4-8 21:23:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人刚接触,请问大侠双向口如何定义, 如inout a,  输出输出的时候各如何用?

[ 本帖最后由 hcm608 于 2007-4-8 21:24 编辑 ]
发表于 2007-4-9 10:57:22 | 显示全部楼层
在Verilog的行为级模式中,输入是线,输出端口是寄存器,双向端口可以说明成“映象寄存器”。作为输出时,其操作跟普通端口一样,当用作输入时,要先将映象寄存器设为高阻态。

……
inout [] data;
……
wire [] data = datareg;
……
datareg='hzzzzz;
……
 楼主| 发表于 2007-4-9 22:12:38 | 显示全部楼层
感谢楼上啊
发表于 2007-4-10 11:44:01 | 显示全部楼层
一般情况下,只要不用做输出,就要置为高阻抗
 楼主| 发表于 2007-4-10 19:42:40 | 显示全部楼层
datareg应该要先定义的吧
当输出的时候是data<=0;   还是datareg<=0;??
输入是a=data;   还是a=datareg?

[ 本帖最后由 hcm608 于 2007-4-10 20:26 编辑 ]
发表于 2007-4-15 19:56:30 | 显示全部楼层
已经说的很明白了
发表于 2007-4-16 09:48:39 | 显示全部楼层
芯片外部引脚很多都使用inout类型的,为的是节省管腿。一般信号线用做总线等双向数据传输的时候就要用到INOUT类型了。就是一个端口同时做输入和输出。 inout在具体实现上一般用三态门来实现。三态门的第三个状态就是高阻'Z'。 当inout端口不输出时,将三态门置高阻。这样信号就不会因为两端同时输出而出错了,更详细的内容可以搜索一下三态门tri-state的资料.
1  使用inout类型数据,可以用如下写法:
inout data_inout;
input data_in;
reg data_reg;//data_inout的映象寄存器
reg link_data;
assign data_inout=link_data?data_reg:1’bz;//link_data控制三态门
//对于data_reg,可以通过组合逻辑或者时序逻辑根据data_in对其赋值.通过控制link_data的高低电平,从而设置data_inout是输出数据还是处于高阻态,如果处于高阻态,则此时当作输入端口使用.link_data可以通过相关电路来控制.
2 编写测试模块时,对于inout类型的端口,需要定义成wire类型变量,而其它输入端口都定义成reg类型,这两者是有区别的.
  当上面例子中的data_inout用作输入时,需要赋值给data_inout,其余情况可以断开.此时可以用assign语句实现:assign data_inout=link?data_in_t:1’bz;其中的link ,data_in_t是reg类型变量,在测试模块中赋值.
  另外,可以设置一个输出端口观察data_inout用作输出的情况:
  Wire data_out;
  Assign data_out_t=(!link)?data_inout:1’bz;

else,in RTL
inout  use in top module(PAD)
dont use inout(tri) in sub module
也就是说,在内部模块最好不要出现inout,如果确实需要,那么用两个port实现,到顶层的时候再用三态实现。理由是:在非顶层模块用双向口的话,该双向口必然有它的上层跟它相连。既然是双向口,则上层至少有一个输入口和一个输出口联到该双向口上,则发生两个内部输出单元连接到一起的情况出现,这样在综合时往往会出错。
发表于 2007-11-28 21:41:39 | 显示全部楼层
学习了,xiexie
发表于 2007-11-28 23:54:44 | 显示全部楼层
感谢楼主,学到不少,现在我也遇到这个问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:26 , Processed in 0.028232 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表