在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2097|回复: 1

[求助] 问一个关于在AMS里定读取文件的问题。

[复制链接]
发表于 2015-4-5 22:21:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 jason.zou 于 2015-4-6 16:55 编辑

模型中的数字部分,希望数据来源于txt文件,16位,这些数据被模型直接输出给DAC的数字端;下面是我的代码,结果AMS报告错误很奇怪“                     |
ncelab: *E,NOTUTX (/home/jircheis/work_oa/sim/tb_gen_dk/functional/verilog.v,54|21): Function name encountered.  Expecting a task name. [2.7.4(IEEE Std 1364-2001)].
. Done
下面是我的代码,根据它标示的出问题的地方是“$fscanf(fp_r,"%h",txt_data);”,我简单查了下VAMS的手册,看它是支持$fscanf的,想问下这个问题该怎么解决?
或者有什么例子保证我能从txt中读取数据。




  1. `timescale 1ns / 1psmodule tb_gen_8bl0b_dk(txd_data,tklsb,tkmsb,rstn,clk1x,clk2x);output [15:0] txd_data;output tklsb,tkmsb,rstn;output clk1x,clk2x;reg  [15:0] din;
  2. wire [9:0] dtout;reg clk1x_tmp,clk2x_tmp;wire clk1x,clk2x;reg rst,pre_rst;reg [23:0] txt_data;
  3. integer fp,fp_r,i,fp_w;


  4. initial  begin#10     clk1x_tmp <= 0;   clk2x_tmp <= 0;   rst <=1;   pre_rst<=1;#47 pre_rst <=0;   #1 rst <=0;     end
  5. initial   begin    fp_r=$fopen("./base_data_8b10b.txt","r");      if(!fp_r)begin      $display("Read File Error.");      $fclose(fp_r);        end  
  6.     @(posedge clk1x)     begin       while(!($feof(fp_r)))         begin           @(posedge clk1x)             if(!pre_rst)begin                      $fscanf(fp_r,"%h",txt_data);               $display("%h",txt_data);             end         end     endend

  7. initial begin  fp_w=$fopen("./result_data_8b10b.txt","w");    if(!fp_w)begin      $display("Write File Error.");      $fclose(fp_w);        end      @(posedge clk1x)      begin        while(!($feof(fp_r)))          begin            @(posedge clk1x)                     $fwrite(fp_w, "%h\n", txt_data);               $display("%h",dtout);          end      end   $fclose(fp_w);    $stop;   end

  8. always #5 clk1x_tmp =!clk1x_tmp;
  9. always #2.5 clk2x_tmp =!clk2x_tmp;
  10. assign #1 clk2x= clk2x_tmp;
  11. assign clk1x= clk1x_tmp;
  12. assign txd_data= txt_data[15:0];assign tklsb=txt_data[16];assign tkmsb=txt_data[17];assign rstn=~rst;
  13. endmodule


复制代码
 楼主| 发表于 2015-4-6 11:20:37 | 显示全部楼层
求助帮忙,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:38 , Processed in 0.017453 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表