在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 47772|回复: 285

[资料] UVM初级开发指南+示例代码

[复制链接]
发表于 2015-3-18 09:25:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 guolehaohao 于 2015-8-10 21:03 编辑

本文完成于13年10月,是自己在做验证过程中的一个总结文档。当时写这个文档的目的是给后面做UVM验证的师弟师妹留个教程。后面经过导师同意将该文档分享出来,让更多学习UVM验证的同志受益。

文档中以张强的《UVM1.1应用指南及源代码分析》中的第一章的示例作为参考,简单讲述了UVM1.1d在QuestaSim中的应用步骤,并讲述了C语言和SV语言的联合仿真过程 ,对初学UVM验证的同学提供实际操作方面的帮助。

    因为自己的毕业设计需要用到文档中的部分内容,所以直到现在才将其分享出来,希望更多的人能够从中受益。    本文附件如下:
    UVM初级开发指南.pdf (1.2 MB, 下载次数: 3313 )

    UVM初级开发指南-示例代码.rar (9.15 MB, 下载次数: 5618 )
发表于 2015-3-18 10:26:54 | 显示全部楼层
谢谢楼主分享
发表于 2015-3-18 14:51:07 | 显示全部楼层
多谢分享
发表于 2015-3-18 16:26:01 | 显示全部楼层
谢谢分享~~~~~~
发表于 2015-3-18 21:59:25 | 显示全部楼层
谢谢楼主分享
发表于 2015-3-18 22:32:14 | 显示全部楼层




    支持原创!
发表于 2015-3-19 20:01:45 | 显示全部楼层
谢谢楼主分享
发表于 2015-3-20 15:03:34 | 显示全部楼层
好东西,学习了
发表于 2015-3-21 08:27:08 | 显示全部楼层
支持原创
发表于 2015-3-21 13:02:05 | 显示全部楼层
谢谢分享!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 10:55 , Processed in 0.035646 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表