在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 24073|回复: 57

[原创] 实践systemverilog, UVM, python, perl, C++代码的好地方

[复制链接]
发表于 2015-2-2 11:25:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
不知道大家知道这个地方吗? EDA playground
http://www.edaplayground.com/

这里,可以网上直接运行systemverilog, verilog, Vhdl, UVM, python, perl, C++, csh, systemC, specman e
不需要自己有运行环境。
发表于 2015-2-3 09:31:08 | 显示全部楼层
感谢!学习了!!!
发表于 2015-2-4 13:28:17 | 显示全部楼层
回复 1# mayzhao


  好是很好,但是貌似需要谷歌和非死不可的账户?不翻墙是不行的。
 楼主| 发表于 2015-2-11 11:35:03 | 显示全部楼层
回复 3# rickbest 奥,不知道国内要这么麻烦,还得翻墙,不好意思了。那就国外的人用吧。
发表于 2015-2-13 09:11:48 | 显示全部楼层
太麻了了还要翻墙
发表于 2015-2-13 17:51:53 | 显示全部楼层
如果不用登陆倒是很方便
发表于 2015-4-17 14:22:34 | 显示全部楼层
能用么?想试试。打不开网站
发表于 2015-4-24 14:48:15 | 显示全部楼层
厉害。。。
发表于 2015-5-29 22:20:27 | 显示全部楼层
好地方,不错的
发表于 2015-6-9 18:55:55 | 显示全部楼层
这个网址号啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 00:54 , Processed in 0.033132 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表