在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6848|回复: 15

[原创] Altera的IP

[复制链接]
发表于 2015-2-1 13:09:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
继Xilinx的加密ip能还原rtl后,Altera的加密IP也可还原了。

比如altera\12.1\ip\altera\viterbi\lib\auk_vit_hyb_sur_atl_ent.vhd是这样的
Offset      0  1  2  3  4  5  6  7   8  9  A  B  C  D  E  F
00000000   8B 13 9C 2F 06 00 04 00  22 BE 09 67 A1 12 4A 70   ??    "?g?Jp
00000010   98 DE 6B 56 B7 65 D4 C7  42 92 E4 19 7F 29 7D 2D   樲kV積郧B掍  )}-
00000020   51 18 4C 6F 59 8B B4 0C  99 B7 E6 61 19 19 84 66   Q LoY嫶 櫡鎍  刦
00000030   DC A2 48 05 56 6E 34 91  8A 77 11 C3 8A 97 AF 9C   堍H Vn4憡w 脢棷?
00000040   30 EC 46 97 DE 82 D3 33  08 69 BE C3 FD 67 CD B2   0霧椶傆3 i久齡筒
可以还原成
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--
-- Revision Control Information
--
-- $Workfile:   auk_vit_hyb_sur_atl_ent.vhd  $
-- $Archive:   Y:/IP_PVCS/archives/Viterbi/Units/hybrid/atlantic/auk_vit_hyb_sur_atl_ent.vhd-arc  $
--
-- $RCSfile: auk_vit_hyb_sur_atl_ent.vhd,v $
-- $Source: /disk2/cvs/data/Projects/Viterbi/Units/hybrid/atlantic/Attic/auk_vit_hyb_sur_atl_ent.vhd,v $
--
-- $Revision: #1 $
-- $Date: 2012/08/12 $
-- Check in by     : $Author: swbranch $
-- Author      :  Alejandro Diaz-Manero
--
-- Project      :  Viterbi
--
-- Description :  
--
-- ALTERA Confidential and Proprietary
-- Copyright 2000 (c) Altera Corporation
-- All rights reserved
--
-------------------------------------------------------------------------
-------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
Entity auk_vit_hyb_sur_atl is
Generic (
  L     : NATURAL := 7;
  ACS_units : NATURAL := 4
);
Port (
  clk, reset : in Std_Logic;
   survtop, survbot : in Std_Logic_Vector(ACS_units downto 1);
   survive : out Std_Logic_Vector(2**(L-1) downto 1)
);
end entity auk_vit_hyb_sur_atl;

有需要的可以PM
发表于 2015-2-2 13:05:21 | 显示全部楼层
楼主,如何操作啊?
发表于 2015-2-10 14:02:11 | 显示全部楼层
本帖最后由 ipdecrypter 于 2015-2-10 14:10 编辑

ipdecryption service
who needed
Send postmail to Me2190754417
发表于 2015-2-10 14:51:50 | 显示全部楼层
这个好,可以研究研究了,imload@sina.com
发表于 2015-2-14 20:13:05 | 显示全部楼层
多谢!!  hgdllt@tom.com
发表于 2015-4-14 10:37:04 | 显示全部楼层
多谢!,请联系shqbao@msn.com
发表于 2015-6-12 00:40:54 | 显示全部楼层
回复 1# Student


   求赐教!!1591891757@qq.com
发表于 2016-8-30 18:53:00 | 显示全部楼层
826243991@qq.com 谢谢!
发表于 2017-10-20 05:43:21 | 显示全部楼层
本帖最后由 yaha79479 于 2023-1-26 23:58 编辑

,麻烦发一份,谢谢
发表于 2017-10-20 18:55:13 | 显示全部楼层
求大神赐教。。。。530126328@qq.com
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 10:27 , Processed in 0.034261 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表