在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: Student

[原创] Vivado自带IP

[复制链接]
发表于 2015-10-11 19:10:39 | 显示全部楼层
我来介绍打开的原理 can_v5_0.vhd
`protect begin_protected
`protect version = 1
...........................
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DAApHpRsqxwjwvK6twwZSkSDTRJ+XwytvkqnPPqhJSHz/mBj7ReIzCLMA2O2nRXamiAPqdFrhtfa
IX8DpRzNjLrbPbEKjg9npplejlcpzJjNdLd9Kums+Aj4OoJ6sWXldMzHm3t/l44i4LSie8AXTPOK
PHlpDNNDoYyLdQN0IcDTWtOjW5uY+7tajVRRnFrPQbhWRYUzqIxSZjCw6aAOczt9wB1TkG8eV5FT
qqOMhwKq0eoxABz6rOnO7jwnx4iZO/VbN02+9NPsNhl5sFnM6HKT8tSglyUPzfTWgReADlmrYIx9
aEvJAYZa4hx8DICa6k+SHBTRBZP7HfHw4/rh3A==

...................................

`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728)
`protect data_block
Y+jlCwCJ2URLxdr0iREBbTEUpDPxwmY3NC64FQ6bkPOmmxuuOGjc7tE+6rAT4IumMCKfnukNBHCX
vH0tHSYanYQCLtYZZDaZZBJVUpFp3Qp13Q4TCPkPuz+qHInx/sUvhxWAQQr8hxfxQWAAW8YUl5Nv
dzkAQhF67VP3Fv2h4QlVwNON+p4KSqOii5iD1DvDYvDg1WOucayZaFqtZvBr0QhCzIlABlO/bdIV
eJ0SL+TePdcMdSHfjdpqVLHRcC3mH+1ZAAOdScSVmFtWayAK6vpqxWW4/g4njyFfwBy3GQI9oQdL
KP4INtrArTAQNzY//PP5SiaevOIa/32fjoW0e0OCGUofhCqDXp0AZMUExF1i8vqyiC47PPAwI8Jk

............................
1.从libisl_iostream.dll中找到RSA私钥,解开key_block下面的数据得到AES的密钥
2.用上面的密钥解开data_block下面的数据
编程可以使用openssl开源库,很简单。 比较复杂的是找RSA那个私钥。
。。。。。
library ieee;
use ieee.std_logic_1164.all;

library can_v5_0;
use can_v5_0.all;

entity can_v5_0 is
    generic (
        c_can_rx_dpth : integer := 64;
        c_can_tx_dpth : integer := 64;
        c_can_num_acf : integer := 4;
        c_c2s_mtbf_stages : integer := 2;
        c_s2c_mtbf_stages : integer := 2;
        c_s_axi_addr_width : integer := 8;
        c_s_axi_data_width : integer := 32;
        c_family : string := "virtex7"
    );
    port (
        can_clk : in std_logic := '0';
        can_phy_rx : in std_logic := '0';
        can_phy_tx : out std_logic;
        ip2bus_intrevent : out std_logic;
        s_axi_aclk : in std_logic := '0';
        s_axi_aresetn : in std_logic := '0';
        --s_axi_awaddr : in std_logic_vector(c_s_axi_addr_width - 1 downto 0) := (others => '0');
        s_axi_awaddr : in std_logic_vector(8 - 1 downto 0) := (others => '0');
        s_axi_awvalid : in std_logic := '0';
        s_axi_awready : out std_logic;
        --s_axi_wdata : in std_logic_vector(c_s_axi_data_width - 1 downto 0) := (others => '0');
        s_axi_wdata : in std_logic_vector(32 - 1 downto 0) := (others => '0');
        --s_axi_wstrb : in std_logic_vector((c_s_axi_data_width / 8 ) - 1 downto 0) := (others => '0');
        s_axi_wstrb : in std_logic_vector((32 / 8 ) - 1 downto 0) := (others => '0');
        s_axi_wvalid : in std_logic := '0';
        s_axi_wready : out std_logic;
        s_axi_bresp : out std_logic_vector(1 downto 0);
        s_axi_bvalid : out std_logic;
        s_axi_bready : in std_logic := '0';
        --s_axi_araddr : in std_logic_vector(c_s_axi_addr_width - 1 downto 0) := (others => '0');
        s_axi_araddr : in std_logic_vector(8 - 1 downto 0) := (others => '0');
        s_axi_arvalid : in std_logic := '0';
        s_axi_arready : out std_logic;
        --s_axi_rdata : out std_logic_vector(c_s_axi_data_width - 1 downto 0);
        s_axi_rdata : out std_logic_vector(32 - 1 downto 0);
        s_axi_rresp : out std_logic_vector(1 downto 0);
        s_axi_rvalid : out std_logic;
        s_axi_rready : in std_logic := '0'
    );
end entity can_v5_0;

architecture xilinx of can_v5_0 is

attribute DowngradeIPIdentifiedWarnings: string;

attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";

    constant eval_tic_ps : integer := 10000;
begin
    core_options : if true generate
        begin cantop_i : entity can_top
        generic map (
            c_can_rx_dpth => c_can_rx_dpth,
            c_can_tx_dpth => c_can_tx_dpth,
            c_can_num_acf => c_can_num_acf,
发表于 2015-10-11 23:18:15 | 显示全部楼层
楼主 我也想要 982331581@qq.com
发表于 2015-10-16 09:50:08 | 显示全部楼层
谢谢楼主了624277850@qq.com
发表于 2015-10-20 15:49:54 | 显示全部楼层
hwlyic@163.com 我也需要,谢谢
发表于 2015-10-21 22:00:08 | 显示全部楼层
牛!同求啊!906693314@qq.com
发表于 2015-11-5 11:28:33 | 显示全部楼层
回复 55# etg


   赞大牛! 请问可以发给我一个吗?  rejay08@163.com  谢谢。
发表于 2015-11-5 16:41:53 | 显示全部楼层
谢谢楼主了! 我也要一份 zhangj2008@139.com
发表于 2015-11-8 17:49:04 | 显示全部楼层
非常感谢! loveccx@hotmail.com
发表于 2015-11-14 14:18:39 | 显示全部楼层
能发我一个不 跪求 在做PCI仿真  有个加密的一直不行  435257641@qq.com  很急
发表于 2015-11-14 14:57:13 | 显示全部楼层
谢谢  465024271@qq.com
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-17 07:31 , Processed in 0.027432 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表