在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2155|回复: 1

[求助] modelsim无波形显示

[复制链接]
发表于 2014-12-1 23:03:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一个16位乘法器,生成testbench文件,照着特权同学的书修改testbench如下,但是联合modelsim仿真发现无任何信号,为什么?点击run-all后也无反应。本人刚学FPGA,菜鸟一枚,求指教!多谢!
mux16 i1 (
// port map - connection between master ports and signals/registers   

.ain(ain),

.bin(bin),

.clk(clk),

.done(done),

.rst_n(rst_n),

.start(start),

.yout(yout)
);
initial                                                
begin                                                  
clk=0;
forever
#10 clk=~clk;                                          
end           
integer i,j;
integer wrong_timer;
integer txt_file;

initial begin
start=1'b0;  //
ain=16'd0;
bin=16'd0;
wrong_timer=0;
txt_file=$fopen("txt_file.txt");

//
rst_n=1'b0;
#1000;
rst_n=1'b1;

$fdisplay(txt_file,"testbench is running!\n");

for(i=0;i<16'hffff;i=i+1) begin
    for(j=0;j<16'hffff;j=j+1)begin
     mux_task(i,j);
     end
end

$fdisplay(txt_file,"%d wrong!\n",wrong_timer);

$fdisplay(txt_file,"testbench is over!");

$stop;
end      

reg [31:0] mux_result;

task mux_task;
    input [15:0] mux_a;
    input [15:0] mux_b;

    begin
      ain=mux_a;
      bin=mux_b;
      @(posedge clk);
      #2 start=1;
      @(posedge done);
      @(posedge clk);
      #2 mux_result =yout;
      @(posedge clk);
      #2 start=0;
      @(posedge clk);
    end
endtask

always @(posedge done) begin
     @(posedge clk);
     @(posedge clk);
     $fdisplay (txt_file,"ain=%d,bin=%d,yout=%d\t",ain,bin,mux_result);
     if (ain*bin==yout) $fdisplay(txt_file,"right\n");
     else begin
       $fdisplay(txt_file,"wrong\n");
       worng_timer=wrong_timer+1;
      end
     @(posedge clk);
   end
endmodule

无任何信号???

无任何信号???
 楼主| 发表于 2014-12-2 17:57:48 | 显示全部楼层

QUARTUS与modelsim联合仿真,modelsim无波形显示

回复 1# 落落happy520


   求指导啊!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 00:18 , Processed in 0.022690 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表