在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: min4max

Cadence软件组合packages,即按任务需求安装所需工具。重点说明license相关问题

[复制链接]
发表于 2014-12-5 23:15:57 | 显示全部楼层
太牛X了
发表于 2014-12-7 15:54:05 | 显示全部楼层
每次阅读楼主文章都获益许多
发表于 2014-12-9 20:50:52 | 显示全部楼层
patch 怎么做的,光有license还是用不了新工具呢
发表于 2014-12-9 21:34:18 | 显示全部楼层
大神 想问一下 做数字仿真的NClaunch 是在那个里面 我看完了 baidu pan 上的 真没找到
 楼主| 发表于 2014-12-9 21:38:53 | 显示全部楼层




    patch使用我上面正文中提供的第二个教程链接(设置请参阅那个链接)中提供的patch
 楼主| 发表于 2014-12-9 22:07:35 | 显示全部楼层


大神 想问一下 做数字仿真的NClaunch 是在那个里面 我看完了 baidu pan 上的 真没找到
ydynwpu 发表于 2014-12-9 21:34




    不懂你说的是什么意思?你安装了INCISIV了吗?NClaunch是包含在INCIDIV里面的。你可以在Virtuoso的Help里搜索incisiv,可以看看是否有什么license feature还没有被包含在你所用的license.dat里。若确实有feature没有包含在内的话,用我上面正文中提供的那个license生成工具lmcrypt补上所缺的features。
发表于 2014-12-12 19:14:37 | 显示全部楼层
回复 26# min4max


    嗯 我按照这篇帖子http://bbs.eetop.cn/thread-444175-1-1.html(贴1)装了incisiv13.20 其中用到了http://bbs.eetop.cn/thread-442573-1-1.html )(贴2)这篇帖子的破解方法  但是我看贴2的这个方法 用作者提到的下面的流程
//之后去 KeyGen/LicGen/Float_Cadence_LicGen
chmod +x lmcrypt_cdslmd.exe
wine lycrypt_cdslmd -i ALL.DAT -o cadence_license.dat -verfmt 6 -r
在 cadence_license.dat 档案里面 把 DAEMON cdslmd 改为 DAEMON cdslmd <path to cdslmd>
< path to cdlmd> 是你安装区 cdslmd 的地方
将安装后的 lmgrd 和 cdslmd 删除
把 KeyGen 里的 lmgrd 和 cdslmd 拷贝过去
然后启动 license manager, 打 lmgrd -c cadence.dat -l cadence.log 即可  看看 cadence.log 档案有无错误//

他生成这个cadence_license.dat  好像是就是 之前我按照你的方法放到IC616/shared/license 中的那个license(我理解是IC616和INCISIV13.20共用一个license)  所以我觉得没有必要做这一步
只需要把你给的cadence那个启动脚本中的
# Cadence Incisive Unified Simulator (IUS) - Digital HDL simulators
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export PATH=$CADHOME/INCISIV132/binCADHOME/INCISIV132/tools/bin{PATH}

这个改成我自己的路径就行了 (这就是我的理解 不知道对不对 尤其是那个cadence_license.dat 到底是不是按照你的方法放到IC616/shared/license 中的那个license  还是说他这个license 是专门针对于incisiv13.20 的license 要放到incisiv/share/license里面去)

我现在的问题是 如果确实是公用一个license的话 那么我该如何启动nclaunch来验证我的incisiv到底有没有装成功?(在学校服务器上是 直接输入 nclaunch &就行了)
 楼主| 发表于 2014-12-13 16:38:17 | 显示全部楼层
本帖最后由 min4max 于 2014-12-13 16:41 编辑


回复  min4max


    嗯 我按照这篇帖子(贴1)装了incisiv13.20 其中用到了 )(贴2)这篇帖子的破解方 ...
ydynwpu 发表于 2014-12-12 19:14




    OK,既然你已经安装了INCISIV,那么如果你是按照我的那个使用shell script方式设置并运行Cadence工具的话,只需在这个shell script中再加上如下指令即可启动运行NClaunch:
$CADHOME/INCISIV141/tools/nclaunch/bin/64bit/nclaunch &
因为所有环境变量和指令都是包含再这个shell script封闭环境中的,所以你在这个shell script之外在终端上输入指令是不能运行相应工具的——你必须将相关指令加入到这个shell script中去 才能启动运行相应的程序。

若你喜欢在终端上运行指令,那么你可以将我的那个shell script中的环境变量设置拷贝到你的$HOME目录中的.bashrc(或者.cshrc——取决于你的系统是使用何种shell)
发表于 2014-12-13 21:40:49 | 显示全部楼层
顶高手!收藏
发表于 2014-12-15 23:31:56 | 显示全部楼层
顶高手!收藏
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 05:38 , Processed in 0.035135 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表