在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4111|回复: 2

[求助] 请问:什么情况下URG会说找不到valid test?明明有的说

[复制链接]
发表于 2014-11-26 09:09:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:      目前正在进行coverage验证,我的coverage文件夹下有test_case1、test_case2等每个case的coverage文件夹,但是我使用"urg -f test_case.f -grade -lca -report urgReport"的时候却报错说“URG could not find any valid test.....".
      而test_case.f的内容则如下:
test_case1/simv.vdb
test_case2/simv.vdb
test_case3/simv.vdb
...

      然后我直接进入到test_case1的文件夹内,运行”urg -dir simv.vdb -report urgReport" 也同样不对,报的也是同样的错误。我哪个地方做错了呢?
 楼主| 发表于 2014-11-26 11:22:38 | 显示全部楼层
再去看了一下simv.vdb里面文件夹的内容,db文件夹内没有testdata文件夹。这是什么缘故呢?是因为我跑simv的参数不对么?附上vcs和simv命令:
vcs:vcs -full64 -sverilog -timescale="1ns/100ps" -ntb_opts uvm-1.1 -debug -cflags -I/net/vol3/axi_bfm/dpi +vcs+lic+wait -l cmp.log -f files.f -file cfiles.f +define+UVM_DISABLE_AUTO_ITEM_RECORDING +define+UVM_PACKER_MAX_BYTES=15000 +define+SYNOPSYS_SV +incdir+/net/vol3/axi_bfm/include +incdir+/net/vol3/axi_bfm/src/sverilog/vcs -P /net/tools/verdi/share/PLI/VCS/LINUX64/novas.tab /net/tools/verdi/share/PLI/VCS/LINUX64/pli.a +nospecify -cm line+cond+fsm+tgl+branch -cm_name test_case1 -cm_dir /net/vol3/coverage/test_case1/simv -cm_hier /net/vol3/coverage/cc_vcs.hir -lca -cm_tgl mda

simv:simv -l test_case1.log +vcs+lic+wait +ntb_random_seed=16513 +TS_PATH=/net/vol3/tests/ +UVM_VERBOSITY = UVM_NONE -cm line+cond+fsm+tgl+branch -cm_name test_case1 -cm_dir /net/vol3/coverage/test_case1/simv +UVM_TESTNAME = test_case1
发表于 2016-3-2 08:58:22 | 显示全部楼层
你解决了吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 21:19 , Processed in 0.017613 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表