在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: wownga

[求助] 【求助】VCS和Verdi联合仿真,无法生成FSDB

[复制链接]
发表于 2015-9-15 15:49:02 | 显示全部楼层
学习学习
发表于 2016-4-7 22:37:03 | 显示全部楼层
来看看
发表于 2016-7-30 09:25:40 | 显示全部楼层
vcs -l vcs.log  是什么意思
发表于 2016-8-19 10:19:44 | 显示全部楼层
http://www.eetop.cn/blog/html/69/861869-35051.html
楼主请参考如上帖子,你的vcs指令有问题,在dump fsdb文件需要几个文件,Verdi.tab, pli.a 以及与仿真器相应版本的.so库文件
发表于 2018-5-29 17:06:30 | 显示全部楼层
5楼给力
发表于 2018-7-17 20:34:04 | 显示全部楼层
回复 1# wownga


    yes
发表于 2018-9-13 10:17:41 | 显示全部楼层
谢谢分享~
发表于 2018-10-12 22:54:17 | 显示全部楼层
很好,很好的问题
发表于 2018-10-12 23:24:47 | 显示全部楼层
没遇到过
发表于 2018-10-31 12:30:14 | 显示全部楼层
学习一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 14:17 , Processed in 0.042916 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表