在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2002|回复: 2

[求助] vcs uvm 编译出错

[复制链接]
发表于 2014-10-30 16:20:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大侠:

如果只编译verilog文件和uvm的东西,用vcs编译仿真没有问题。  现在用vlogan进行编译,报找不到uvm_pkg的错误。不知道还需要加什么参数吗?


求赐教
发表于 2014-10-30 16:43:21 | 显示全部楼层
加入uvm 库
 楼主| 发表于 2014-10-30 17:49:05 | 显示全部楼层
加了就对了,vlogan编译完成后,yongvcs编译testbench,报模块没有被例化。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 18:51 , Processed in 0.017613 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表