在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6895|回复: 12

[求助] 为什么modelsim10.2里有UVM的库,但HELLO_WORLD例程还是无法编译

[复制链接]
发表于 2014-10-18 12:04:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
vlog -work work -vopt -sv D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv
Model Technology ModelSim SE-64 vlog 10.2 Compiler 2013.02 Feb  2 2013
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(1): Cannot open `include file "D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_pkg.sv".
-- Compiling module hello_world_example
-- Importing package uvm_pkg (uvm-1.1c Built-in)
** Error: D:/modeltech64_10.2/examples/SYS/HELLO_WORLD.sv(4): Cannot open `include file "D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src/uvm_macros.svh".
 楼主| 发表于 2014-10-18 12:05:59 | 显示全部楼层
`include "uvm_pkg.sv"  
module hello_world_example;  
   import uvm_pkg::*;   
   `include "uvm_macros.svh"  
   initial begin   
     `uvm_info("info1","Hello World!", UVM_LOW);
   end
endmodule: hello_world_example
发表于 2014-10-18 16:38:31 | 显示全部楼层
本帖最后由 doogo 于 2014-10-18 16:43 编辑

去搜一下questa文档,关键字incdir
 楼主| 发表于 2014-10-19 08:18:54 | 显示全部楼层
vlog +incdir+{D:\modeltech64_10.2\uvm-1.1c\src} -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF {D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv}
# Model Technology ModelSim SE-64 vlog 10.2 Compiler 2013.02 Feb  2 2013
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(1): Cannot find `include file "uvm_pkg.sv" in directories:
#     {D:modeltech64_10.2uvm-1.1csrc}, D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src
# -- Compiling module hello_world_example
# -- Importing package uvm_pkg (uvm-1.1c Built-in)
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(4): Cannot find `include file "uvm_macros.svh" in directories:
#     {D:modeltech64_10.2uvm-1.1csrc}, D:/modeltech64_10.2/ovm-2.1.2/../verilog_src/ovm-2.1.2/src
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(6): (vlog-2163) Macro `uvm_info is undefined.
#
# ** Error: D:\modeltech64_10.2\examples\SYS\HELLO_WORLD.sv(6): near "(": syntax error, unexpected '('
# D:/modeltech64_10.2/win64/vlog failed.
这里似乎语法用对了,但是里面包含的头文件等库信息找不到,还希望高人指点一下。谢谢
发表于 2014-10-20 20:37:12 | 显示全部楼层
+incdir+<dir>      Search directory for files included with
#                      `include "filename"
发表于 2014-10-20 20:48:53 | 显示全部楼层
不得不承认,modelsim用起来还是很不习惯
发表于 2014-10-20 21:10:34 | 显示全部楼层
4楼正解
发表于 2014-10-28 22:09:23 | 显示全部楼层
这个还真没用过
发表于 2018-8-26 22:02:20 | 显示全部楼层
您好,请问您是如何解决这个问题的?目前,我也遇到这个问题,不知道怎么解决。我也是UVM初学者。
发表于 2018-8-26 22:16:06 | 显示全部楼层
现在这个问题我已经解决了。主要是将运行文件下的work这个文件删除重新运行就可以。每次运行会生成一个work文件,导致在下次运行是无法重新创建新的work文件。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 19:51 , Processed in 0.035248 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表