在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3063|回复: 3

[求助] UVM1.2下使用vipcat的疑问

[复制链接]
发表于 2014-10-13 16:20:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有谁在uvm1.1或者uvm1.2下使用vipcat么?为什么不能在case的run_phase里定义sequence, 然后使用sequence.start(env.agt.sequencer)这样的方式启动,却一定要set_default_sequence才有效?
发表于 2014-10-30 21:48:42 | 显示全部楼层
本帖最后由 baddy2323 于 2014-10-30 21:49 编辑

回复 1# seabeam

    vipcat是什么呢

    你的意思是下面这种方式实例化sequence吗,,下面这种是可以的啊


    virtual task  main_phase(phase);
         test_sequence   test1=test_sequence::type_id::create("test1");
               ......

            test1.randomize();
            .test1.start(env.agent_h.sequencer);

          ..............
 楼主| 发表于 2014-10-31 13:48:54 | 显示全部楼层
回复 2# baddy2323

这是cadence的VIP, 他的例子跑不起来是因为用OVM的方式组织的,内部还把相应的wait函数重载了,用sequence.start(sequencer)的方式别想启动起来,而且phase.objection也停不了仿真。cadence怕修改老代码带来风险,但是却造成之前的东西根本上时代,很多语法结构被淘汰,VIP难以使用,总有一天会栽这上面
发表于 2017-10-7 16:34:27 | 显示全部楼层
VIP首先得好用才行
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 18:27 , Processed in 0.018618 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表