在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1513|回复: 1

[求助] modelsim Error: E

[复制链接]
发表于 2014-9-24 17:13:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module fadder_1
(
    i_A,
    i_B,
    i_Cin,
    o_S,
    o_Cout
);
input i_A,i_B;
input i_Cin;
output o_S,o_Cout;

assign o_S=i_A^i_B^i_Cin;
assign o_Cout=(i_A^i_B)&i_Cin|i_A&i_B;
endmodule
照抄书上的代码 在modelsim10.1a运行出现
Error: E:/modelsim se 10.1a crack/examples/verilog/full adder.vhd(1): near "module": syntax error
发表于 2014-11-10 10:50:51 | 显示全部楼层
.v or .vhd,确认一下~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 08:57 , Processed in 0.024345 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表